Program NI scope board in C++

I am using NI scope board to measure prop delays between 2 signals and rise/fall time of them. I am looking for a sample program in C++ that does those things. Wonder if anybody could help.
TIA

Ioch,
There are several example programs installed with the NI-SCOPE driver that will allow you to take different measurements including rise and fall time. In the following folder (C:\Program Files\National Instruments\MeasurementStudio\VC\Examples\niScope) you will find two example programs called "MeasurementLibrary" and "AdvancedMeasurementLibrary". These programs have a selection on the control called "Scalar measurement" with Rise Time and Fall Time.
The function that is being used to make this measurement is called niScopeFetchMeasurementStats and details on this function can be found in the NI High-Speed Digitizers Help document. This document can be found by going to Start >> Programs >> National Instruments >> NI-SCOPE >> Documentation. In the Help document go to the following section . . . Programming >> NI-SCOPE Function Reference Help >> Functions >> Measurement Functions.
Hope this helps!
Erick D.
NI Applications Engineer

Similar Messages

  • First program on Zed board

    I have just got our Zed board.
    Can you please help me in locating my first program for Zynq w.r.t:
    1- PS section
    2- PL section
    3- Both in communication.
    I just want a minimal design to get into.
     

    Have you tried to just Google "zedboard tutorial" there are tons of tutorials out there. Go to zedboard.org support and look around. You can find some here: Zedboard Tutorials, In the tutorials is a step by step guild to build a simple PS/PL design that interacts with the switches and leds.  If I remember there are two main tutorials, one is bare-metal (don't need to boot Linux) and the other requires Linux up and running via the SD interface.  

  • Program+GP​IB+board+l​istener

    I would like to monitor data coming through IEEE bus. Does anybody know how to set a GPIB board (AT/TNT+) as listener using the MISC command and read afterwards data? The board is installed in a PC working with XP.

    Hi,
    There is no command in LabVIEW to set the board as a listen-only device.
    You could call the C ibconfig function to configure the board as listener only, as described in this Knowledge base article: Can I Use the AT-GPIB/TNT Board in a Listen-only State under Windows NT?. The article refers to the AT-GPIB board, but the same information applies to most GPIB interfaces.
    DiegoF
    National Instruments.

  • Scope of List in custom program

    Hi Experts,
        I have a requirement to include scope of list parameter in my selection screen just like ME3L Transaction. How can I achieve this functionality as it behaves in that standard transaction.
    Thanks & Regards,
    Arun.

    Sorry I misunderstood you question...
    I strongly feel that this functionality is developed specifically for purchasing module keeping few report into consideration and its not in the form where in other developer can use it.
    put a break-point in method is_supported defined in program LMEREPI06 and execute the program for scope ALV.

  • DIFFICULTY PROGRAMMING CUSTOM BOARD WITH VIVADO

    Hey Xilinx gurus,
    I’ve been having difficulty programming a custom board with Vivado. I am using the XC7A50T-FGG484 Artix device in my design. I am also using the S25FL128SAGMFI003 Spansion flash memory chip. I first tried to program the Artix FPGA directly, then tried to program the FPGA using the flash memory. Neither of these have been successful and I need some help. I have scoured the user guides and forums with little success.
    Here are some warnings/errors that I run into when programming (I included the entire TCL console script below):
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Byte 15370 does not match (FF != 00)
    ERROR: [Labtools 27-3161] Flash Programming Unsuccessful
    ERROR: [Common 17-39] 'program_hw_cfgmem' failed due to earlier errors.
    Thank you in advance for your time and direction, it is much appreciated!
    Best,
    Ralph
    ENTIRE TCL CONSOLE SCRIPT:
    start_gui
    open_project C:/Vivado/imu3artix/imu3frequency/imu3frequency.xpr
    Scanning sources...
    Finished scanning sources
    INFO: [IP_Flow 19-234] Refreshing IP repositories
    INFO: [IP_Flow 19-1704] No user IP repositories specified
    INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2015.1/data/ip'.
    open_project: Time (s): cpu = 00:00:21 ; elapsed = 00:00:20 . Memory (MB): peak = 704.227 ; gain = 92.195
    open_hw
    ****** Webtalk v2015.1 (64-bit)
    **** SW Build 1215546 on Mon Apr 27 19:22:08 MDT 2015
    **** IP Build 1209967 on Tue Apr 21 11:39:20 MDT 2015
       ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
    source C:/Vivado/imu3artix/imu3frequency/imu3frequency.hw/webtalk/labtool_webtalk.tcl -notrace
    webtalk_transmit: Time (s): cpu = 00:00:00 ; elapsed = 00:00:15 . Memory (MB): peak = 66.770 ; gain = 0.023
       while executing
    "webtalk_transmit -clientid 3767299429 -regid "210956146_0_0_059" -xml C:/Vivado/imu3artix/imu3frequency/imu3frequency.hw/webtalk/usage_statistics_ext_..."
       (file "C:/Vivado/imu3artix/imu3frequency/imu3frequency.hw/webtalk/labtool_webtalk.tcl" line 28)
    INFO: [Common 17-206] Exiting Webtalk at Thu Aug 06 11:42:27 2015...
    connect_hw_server -url localhost:3121
    INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
    current_hw_target [get_hw_targets */xilinx_tcf/Xilinx/0000177f243b01]
    set_property PARAM.FREQUENCY 1500000 [get_hw_targets */xilinx_tcf/Xilinx/0000177f243b01]
    open_hw_target
    INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/0000177f243b01
    set_property PROGRAM.FILE {C:/Vivado/imu3artix/imu3frequency/imu3frequency.runs/impl_1/IMU3.bit} [lindex [get_hw_devices] 0]
    current_hw_device [lindex [get_hw_devices] 0]
    refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] 0]
    INFO: [Labtools 27-1435] Device xc7a50t (JTAG device index = 0) is not programmed (DONE status = 0).
    set_property PROBES.FILE {} [lindex [get_hw_devices] 0]
    set_property PROGRAM.FILE {C:/Vivado/imu3artix/imu3frequency/imu3frequency.runs/impl_1/IMU3.bit} [lindex [get_hw_devices] 0]
    program_hw_devices [lindex [get_hw_devices] 0]
    INFO: [Labtools 27-3164] End of startup status: HIGH
    program_hw_devices: Time (s): cpu = 00:00:24 ; elapsed = 00:00:24 . Memory (MB): peak = 741.168 ; gain = 0.000
    refresh_hw_device [lindex [get_hw_devices] 0]
    INFO: [Labtools 27-1434] Device xc7a50t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    create_hw_cfgmem -hw_device [lindex [get_hw_devices] 0] -mem_dev [lindex [get_cfgmem_parts {s25fl128sxxxxxx0-spi-x1_x2_x4}] 0]
    set_property PROGRAM.BLANK_CHECK 0 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.ERASE 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.CFG_PROGRAM 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.VERIFY 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    refresh_hw_device [lindex [get_hw_devices] 0]
    INFO: [Labtools 27-1434] Device xc7a50t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    set_property PROGRAM.ADDRESS_RANGE {use_file} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.FILES [list "C:/Vivado/imu3artix/imu3frequency/imu3frequency.runs/impl_1/IMU3.bin" ] [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0]]
    set_property PROGRAM.UNUSED_PIN_TERMINATION {pull-none} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.BLANK_CHECK 0 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.ERASE 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.CFG_PROGRAM 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    set_property PROGRAM.VERIFY 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    startgroup
    if {![string equal [get_property PROGRAM.HW_CFGMEM_TYPE [lindex [get_hw_devices] 0]] [get_property MEM_TYPE [get_property CFGMEM_PART [get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]]]] } { create_hw_bitstream -hw_device [lindex [get_hw_devices] 0] [get_property PROGRAM.HW_CFGMEM_BITFILE [ lindex [get_hw_devices] 0]]; program_hw_devices [lindex [get_hw_devices] 0]; };
    INFO: [Labtools 27-3164] End of startup status: HIGH
    program_hw_devices: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 784.484 ; gain = 0.000
    program_hw_cfgmem -hw_cfgmem [get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
    Mfg ID : 1   Memory Type : 20   Memory Capacity : 18   Device ID 1 : 0   Device ID 2 : 0
    Performing Erase Operation...
    Erase Operation successful.
    Performing Program and Verify Operations...
    Program/Verify Operation failed.
    Byte 15370 does not match (FF != 00)
    ERROR: [Labtools 27-3161] Flash Programming Unsuccessful
    program_hw_cfgmem: Time (s): cpu = 00:00:00 ; elapsed = 00:00:21 . Memory (MB): peak = 784.484 ; gain = 0.000
    ERROR: [Common 17-39] 'program_hw_cfgmem' failed due to earlier errors.

     This indicates your device got programed. those warnings can be safely ignored.
    INFO: [Labtools 27-1434] Device xc7a50t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    FPGA is getting programmed but flash got problems while programming. Let me see if I could get anything from log

  • How can I obtain a scope like presentati​on using measuremen​t studio and VB?

    I would like to use the scope active X control provided in Measurement Studio to provide an oscilloscope presnetation of data acquired using the NI 6110 high speed digitizer boards.
    Is this possible?
    Some sample code would be helpful.  I am used to setting up virtual channels in the Measurement and automation software and then referencing the virtual channel number in the properties of the active x control in VB.  I can't figure out how to accomplich this with the scope active x control.
    Thanks

    Hello Cubby!
    I am assuming when you say you want to use the Scope ActiveX control in
    VB6 that you are refering too the IVISCOPE activeX control.  This
    control will allow you to have the O-Scope type interface with little
    to no programming.   Please notice this will be different
    then using MAX because you will need to have an IVI session of your
    scope set up.
    After Adding this component to your project simply lay it on the
    form.  Next in the property page associated with the control find
    the 'Custom' property.  Click on the '...' button inside the
    property which will bring up a attribute page.  Finally, select
    your IVI scope session that was configured in MAX or if your using an
    NI scope board enter the resource in the DAQ::x::INSTR format.  To
    verify that everything is setup correctly click on the 'Configuration'
    tab and click the Verify button.
    For further help see....
    http://digital.ni.com/public.nsf/websearch/CEECFCB​F5015AB6F862568A100640EB0?OpenDocument
    If you have more questions please feel free to ask.  I could not
    locate any example code,  but you should be able to get it working
    by simply configuring the control correctly.  Have a great day!
    Allan S.
    National Instruments

  • Difficulty triggering scope to collect waveform

    I have a 6602 counter board which I use to generate instrument triggers at 10 Hz.  I also have PXI 5112 board and a PXI 6070E board which I want to use to collect waveforms and are digitally triggered by the 6602.  I have attached my latest program for your viewing.  My problem is that I can only collect a single waveform with the current program.  I can make the 5112 board collect every waveform generated in my experiment by moving the "niScope initialize acquisition.vi" into the while loop, but this isn't smart programming. 
    I am also having difficulty with the 6070E board.  I can use this board successfully to capture waveforms if (and only if) I initialize and stop the DAQmx Read.vi each iteration of the while loop.  Once again, I don't believe that this is smart programming. 
    I look forward to any recommendations which you may have.  Thanks.
    -Darrin
    Attachments:
    triggers40f.vi ‏148 KB

    I do appreciate your quick response but unfortunately, it did not fix my problem.  I probably did not describe my problem correctly.  I one again have attached the program for your viewing.   What I want to do is the following: 
    I use the 6602 board to generate triggers (at 10 Hz) to my pulsed experiment.  As a result of the experiment, I collect various waveforms (mass spectra, optical decay signals, etc.).  I want to use simultaneously both the 5112 scope board and the 6070e board to sample these waveforms at 10 Hz but I cannot.  As the vi is currently programed, the 6070e board samples the wavefroms at 10 Hz without problem; the 5112 board will only sample a single waveform.  I can fix this by moving the "niScope Initiate Acquisition.vi" into the while loop.  If I do this, then both boards will acquire and sample waveforms, however, the whole program slows down and I am only able to sample every other waveform (or in other words, the internal while loop executes at ~5 Hz).  Basically, this results in me throwing half of my data and experimental time away. 
    I am certain that this problem is do to my inexperience with LabView programming and that there must be an intellignet method to program this vi without slowing it to a crawl.  I look forward to your response.  THX.
    -Darrin
    Attachments:
    triggers40g.vi ‏144 KB

  • Programing on Clock Sync Pulse Source

    Hi All,
    I want to synchronize two PCI-5112 boards,which are in individual PC, but
    am facing some labview programming problems on the Clock Sync Pulse Source.
    I want to output the Clock Sync Pulse signal of one board to its own PFI2
    port, and the second board receives this signal also from its own PFI2. In
    Labview, how can I program and output a Clock Sync Pulse signal to a certain
    port? How can I determine it 'in' or 'out'?
    Can anyone answer me this question or provide me some VI examples?
    thank very much.

    Hi,
    With DAQ boards, you can do it via the route signal vi, on scope boards I'm not sure that you can do it in the same way, but I think that in this link it is explained: http://sine.ni.com/apps/we/niepd_web_display.display_epd4?p_guid=F3F3FDBA1F32205EE0340003BA7CCD71.
    Hope this helps,
    Paulo

  • Register level programing of the 6601 counter to connect signals to the rtsi bus

    I have need for more than 4 clocks and have several 6601 counter boards. I would like to use an additional board for the extra clock but need to send signals to the this board from the other. The most efficent way seems to be to use the rtsi bus to connect the 2 boards together. I am programing the counter boards at the register level  using a kernel module in linux. The register level porgramming manual explains how to connect different signals to the sourse pins of the clocks including the rtsi signals.  I have been successful in doing this but  can not find info on how to route the output signal of one clock  to the input of another using the rtsi bus. Using  web search I have seen ways to do this using labview and dqmx calls but nothing for programin at the register level. Thanks for any help on this problem.
    Jerrv

    Hi Jerry-
    Ed's post here has some info that seems related to your question.
    Tom W
    National Instruments

  • OAUG Enhancement Request System (ERS) Pilot Program

    OAUG Enhancement Request System (ERS) Pilot Program
    The OAUG Board of Directors and Oracle® Corporation have previously announced the Pilot Program for the OAUG Enhancement Request System (ERS). This system has been collaboratively designed to achieve objectives that are mutually beneficial to Oracle customers, the OAUG, and Oracle Corporation:
    * Provide a centralized and standardized solution for Oracle customers to submit, validate and have OAUG members vote on Oracle® Applications Enhancement Requests
    * Provide Oracle® Product Development with direct access to user input and requirements that may provide direction for future versions of the Oracle® Products
    * Create an enhancement related communication channel between end users, Special Interest Group (OHUG) Chairs, OHUG Enhancement Coordinators and Oracle® Product Management
    The goal of the OAUG ERS Pilot Program is to comprehensively test the new system with live enhancement data from two specific product areas:
    - Self Service Human Resources
    - Oracle Order Management
    Oracle® customers interested in entering enhancements for either product are encouraged to do so by logging into MetaLink, selecting "News & Events" from the navigation bar, selecting "OAUG Enhancement Request System (ERS) Pilot Program" from the articles listed, and choosing "LOGIN to the OAUG ERS" from the article text (use your OAUG LoginID and Password at this point, not your MetaLink credentials).
    Step-by-Step Instructions -
    Through Oracle's MetaLink:
    1. Log into metalink.oracle.com using your MetaLink credentials.
    2. Click on the "News and Events."
    3. Click on the OAUG ERS Pilot Program link.
    4. Click the "Login to the OAUG ERS" link and enter your OAUG member login and password.
    5. Search for Enhancements within the Self-Service HR product (leave all other fields blank for a complete list).
    6. Drill down into the detail of any enhancement and scroll down to vote!

    Hi Sue,
    As i understood so far,Sales order is an auto generated number pre-configured by the SD consultant to fall within that number range...
    The following are only my suggestions .....
    (1)to check if it is possible through  configurations for having a number range similar to the external number provided these 2 are directly linked and that there is always a Sales order linked to every external number..........
    (2) we have a field called PO refernce number in the header.We can fill this field in the user exit of the include MV45AFZZ in userexit for move field to vbak and then in all the custom reports and programs link this field and standard VBELN number so that SAP flow is not affected.
    (3) check for enhancement spots wherein the vbeln field is overwritten immediatly after number generation...Please note that overwriting the standard field is a tiring task.,especially a key field..because we need to clearly understand which all tables are being updated with this number..so if the field vbeln has a parameter name(press F1 on Vbeln field and you will get the detail list ,with one field called "parameter name" ) assigned to it overwrite it with the required number so that all standard tables are relected with this number....(use F1 helo set/Get parameters to learn more)..try filling this parameter using set parameter statement  OR check for badis and user exits
    If I come across a possible exit ,will update you
    Hope it helps
    Regards
    Byju

  • Using board level commands how would I connect(se​nd/receive messages) to an instrument​?

    I Have included the commands I typed at the prompt below. I used the "GPIB Messges Tutorial Development Libary" web page to guide me, however I don't get the results I expect out of "*IDN?" when I type "ibrd 100" I get an buffer is empty(see below). Do you know what I am doing wrong?
    gpib0 ibic
    ESP-488 in C for GPIB-ENET Interactive Test Program.
    find gpib0 board
    IBSTA: 0
    IBERR: 0
    IBCNT: 0
    BOARD-> sic
    IBSTA: 0
    IBERR: 0
    IBCNT: 0
    BOARD-> cmd "@?\x22"
    IBSTA: 0
    IBERR: 0
    IBCNT: 0
    BOARD-> wrt "*IDN?"
    IBSTA: 130
    IBERR: 1
    IBCNT: 0
    BOARD-> wrt "*IDN?"
    IBSTA: 0
    IBERR: 0
    IBCNT: 0
    BOARD-> cmd "?SPB"
    IBSTA: 0
    IBE
    RR: 0
    IBCNT: 0
    BOARD-> rd 100
    Buffer =
    IBSTA: 8130
    IBERR: 3
    IBCNT: 0
    BOARD->

    >> cmd "@?\x22"
    -- This command sends MTA0, UNL(isten), MLA2.
    This is correct if you wish to set the device at primary address 0 as a talker (likely your host computer) and the device at primary address 2 as a listener (likely your device)
    >> cmd "?SPB"
    -- This command sends UNL, MTA19, MTA16, MTA2
    This is not correct. From the code, it appears that you want to set the device to be a talker and the host computer to be a listener. In this case, you would send
    cmd "?\x42\x20" (anything with \x4 is a talk address, anything with \x2 is a listen addrress, so we have said UNL(isten), MTA2, MLA0)
    In addition, you should only need to send the wrt "*IDN?" once.

  • I need tips on programming a 6602 counter/timer

    I am new to Labview but have been a command line programmer for some time.  I have a 6602 card in a PXI system.  I would like to use the internal clock of the 6602 to control the delivery of triggers to various instruments.  These triggers need to be both user inputted and optionally scanned in time.  Eventually, I will trigger the 5112 NI scope board to recieve signal and plot to the screen. 

    Darrin,
    There are a lot of terrific LabVIEW examples that ship with the
    NI-DAQmx driver that are compatible with the PXI-6602.  These
    include counter examples as well as pulse train generation
    examples.  For example, some of these that would be great to looks
    at can be found by opening the NI Example Finder (Help >> NI
    Example Finder).  Under the Browse tab, navigate to Hardware Input
    and Output >> DAQmx >> Generate Digital Pulses.
    There are also shipping examples that show how to use a common signal
    between boards.  Most of these examples share clocks, but you
    could also apply this to triggering.  These are found in: Hardware
    Input and Output >> DAQmx >> Synchronization >>
    Multi-Device and Hardware Input and Output >> DAQmx >>
    Synchronization >> Multi-Function
    There are also a lot of great examples, DevZone posts, and tutoirals
    that you can find on our website by searching for various keywords like
    6602, synchronization, triggering. etc.
    One example that shows how to export a digital signal from one board
    and use it on another board via the RTSI lines that are built into the
    backplane of the PXI chassis can be seen here.
    Hopefully this gives you a good place to start!
    Regards,
    Doug Mumford
    Applications Engineer
    National Instruments
    Doug M
    Applications Engineer
    National Instruments
    For those unfamiliar with NBC's The Office, my icon is NOT a picture of me

  • DLL Prototype fetch scope TestStand

    Hi,
    I want to use the function "niScope_Fetch" from "niscope_32.dll" in order to manager Scope MultiRecord Acquisition in TestStand.
    The Scope Board is initialized and configured witch IVI_Scope steps then with the "Specific Driver C Handle" I'm using "niScope_ConfigureMultiHorizontal" and now I want to pass parameters to "niScope_Fetch".
    I have troubles with "double *wfm" prototype !
    How should I pass pointer to 2D-Array of Double in TestStand ?
    Is it necessary to change "double *wfm"
    In fact, is is possible to use the following function directly with TestStand "C/C++ DLL" Adapter :
    long niScope_Fetch(long vi, const char *channelList, double timeout, long numSamples, double *wfm, long *wfmInfo)
    Do you have such an example or another way to read Scope MultiRecord acquisition without LabVIEW ?
    Thanks in advance,
    Emmanuel BOIVIN

    Hello,
    I do not really understand your question. Do you want to use a pointer to an 1D array of to a 2D array? The "double *wfm" prototype correspond to a pointer to an array of double. You can pass this kind of data in TestStand, I have done a small screenshot to show you:
    The prototype to double pointer to a 2D array is like : double **ptr.
    Do I answer your question?
    Manuel
    Message Edité par ManuelR le 04-03-2007 07:21 AM
    Manuel R
    AE dans une autre vie
    Attachments:
    pointer.JPG ‏60 KB

  • LeCroy programming

    Hi,
    I use the LT262 scope with GPIB interface for remote control.
    According to my program the scope executes number of sweeps with summed
    averaging.
    My problem is that how I can programmatically get the moment when all
    the sweeps are completed.
    I don't see any pending commands, after the sweeps starting ,for use the
    OPC or WAIT commands (as STOPAfter in the Tektronix).
    Thanks in advance.
    Alexander.

    Here is a part of code I've used for a particular application. Hope you can adapt it for your needs.
    Attachments:
    LC334_start_wait.vi ‏44 KB

  • Digilent Nexys4 - programming the SPI FLASH with an FPGA configuration file

    I made a short video of my notes once I eventually figured out how to do this. This video shows how to program the on-board SPI Quad Mode FLASH memory with an FPGA configuration file using iMPACT in ISE.
    https://youtu.be/-KORahDVVrk
    I hope this is not spam - please just ignore if not helpful!

     There is Application note for the same in detail.
    http://www.xilinx.com/support/documentation/application_notes/xapp586-spi-flash.pdf

Maybe you are looking for

  • Photoshop CS4 Installation Crash Issues

    Hey all. I was installing the trial version of CS4 (on Vista) when my power went out. When I tried to install again, I kept getting this error message: >- undefined >- The installer database is invalid: please re-install the product from the original

  • BW Reporting Issue

    Hi, I am facing one reporting Issue relating to Display Attributes. Characteristic: Business Partner Display Attributes: Address0, Address1, Address3...etc. Requirement is to display mentioned Display attributes along with Business Partner. For this

  • HT1338 How do I change my display settings to normal size.....everything is far too large ?  Icons, font size, etc....

    Everything displayed is farr too large....I have somehow changed my settings.....e-mails, icons, etc....everything is aplified in size....any ideas ?

  • SOAP Sender Adapter -- Only 1 Service Interface possible ?

    Hi all, I have the following situation (only relevant components are described) I created a Communication Channel: SOAP_Sender_X And I created a Sender Agreement for ServiceInterface A with Soap_Sender_X Then I call ServiceInterface A. All is OK Then

  • Font changes size during copy and paste

    How can you get photoshop to keep the same font size during copy and paste? For example, I copied text that was 14pt and it pasted as 16.44pt. I am copying and pasting text in the same photshop document. Thanks!