DIFFICULTY PROGRAMMING CUSTOM BOARD WITH VIVADO

Hey Xilinx gurus,
I’ve been having difficulty programming a custom board with Vivado. I am using the XC7A50T-FGG484 Artix device in my design. I am also using the S25FL128SAGMFI003 Spansion flash memory chip. I first tried to program the Artix FPGA directly, then tried to program the FPGA using the flash memory. Neither of these have been successful and I need some help. I have scoured the user guides and forums with little success.
Here are some warnings/errors that I run into when programming (I included the entire TCL console script below):
WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
Byte 15370 does not match (FF != 00)
ERROR: [Labtools 27-3161] Flash Programming Unsuccessful
ERROR: [Common 17-39] 'program_hw_cfgmem' failed due to earlier errors.
Thank you in advance for your time and direction, it is much appreciated!
Best,
Ralph
ENTIRE TCL CONSOLE SCRIPT:
start_gui
open_project C:/Vivado/imu3artix/imu3frequency/imu3frequency.xpr
Scanning sources...
Finished scanning sources
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2015.1/data/ip'.
open_project: Time (s): cpu = 00:00:21 ; elapsed = 00:00:20 . Memory (MB): peak = 704.227 ; gain = 92.195
open_hw
****** Webtalk v2015.1 (64-bit)
**** SW Build 1215546 on Mon Apr 27 19:22:08 MDT 2015
**** IP Build 1209967 on Tue Apr 21 11:39:20 MDT 2015
   ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
source C:/Vivado/imu3artix/imu3frequency/imu3frequency.hw/webtalk/labtool_webtalk.tcl -notrace
webtalk_transmit: Time (s): cpu = 00:00:00 ; elapsed = 00:00:15 . Memory (MB): peak = 66.770 ; gain = 0.023
   while executing
"webtalk_transmit -clientid 3767299429 -regid "210956146_0_0_059" -xml C:/Vivado/imu3artix/imu3frequency/imu3frequency.hw/webtalk/usage_statistics_ext_..."
   (file "C:/Vivado/imu3artix/imu3frequency/imu3frequency.hw/webtalk/labtool_webtalk.tcl" line 28)
INFO: [Common 17-206] Exiting Webtalk at Thu Aug 06 11:42:27 2015...
connect_hw_server -url localhost:3121
INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
current_hw_target [get_hw_targets */xilinx_tcf/Xilinx/0000177f243b01]
set_property PARAM.FREQUENCY 1500000 [get_hw_targets */xilinx_tcf/Xilinx/0000177f243b01]
open_hw_target
INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/0000177f243b01
set_property PROGRAM.FILE {C:/Vivado/imu3artix/imu3frequency/imu3frequency.runs/impl_1/IMU3.bit} [lindex [get_hw_devices] 0]
current_hw_device [lindex [get_hw_devices] 0]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] 0]
INFO: [Labtools 27-1435] Device xc7a50t (JTAG device index = 0) is not programmed (DONE status = 0).
set_property PROBES.FILE {} [lindex [get_hw_devices] 0]
set_property PROGRAM.FILE {C:/Vivado/imu3artix/imu3frequency/imu3frequency.runs/impl_1/IMU3.bit} [lindex [get_hw_devices] 0]
program_hw_devices [lindex [get_hw_devices] 0]
INFO: [Labtools 27-3164] End of startup status: HIGH
program_hw_devices: Time (s): cpu = 00:00:24 ; elapsed = 00:00:24 . Memory (MB): peak = 741.168 ; gain = 0.000
refresh_hw_device [lindex [get_hw_devices] 0]
INFO: [Labtools 27-1434] Device xc7a50t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
Resolution:
Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
create_hw_cfgmem -hw_device [lindex [get_hw_devices] 0] -mem_dev [lindex [get_cfgmem_parts {s25fl128sxxxxxx0-spi-x1_x2_x4}] 0]
set_property PROGRAM.BLANK_CHECK 0 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.ERASE 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.CFG_PROGRAM 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.VERIFY 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
refresh_hw_device [lindex [get_hw_devices] 0]
INFO: [Labtools 27-1434] Device xc7a50t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
Resolution:
Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
set_property PROGRAM.ADDRESS_RANGE {use_file} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.FILES [list "C:/Vivado/imu3artix/imu3frequency/imu3frequency.runs/impl_1/IMU3.bin" ] [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0]]
set_property PROGRAM.UNUSED_PIN_TERMINATION {pull-none} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.BLANK_CHECK 0 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.ERASE 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.CFG_PROGRAM 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
set_property PROGRAM.VERIFY 1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
startgroup
if {![string equal [get_property PROGRAM.HW_CFGMEM_TYPE [lindex [get_hw_devices] 0]] [get_property MEM_TYPE [get_property CFGMEM_PART [get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]]]] } { create_hw_bitstream -hw_device [lindex [get_hw_devices] 0] [get_property PROGRAM.HW_CFGMEM_BITFILE [ lindex [get_hw_devices] 0]]; program_hw_devices [lindex [get_hw_devices] 0]; };
INFO: [Labtools 27-3164] End of startup status: HIGH
program_hw_devices: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 784.484 ; gain = 0.000
program_hw_cfgmem -hw_cfgmem [get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices] 0 ]]
Mfg ID : 1   Memory Type : 20   Memory Capacity : 18   Device ID 1 : 0   Device ID 2 : 0
Performing Erase Operation...
Erase Operation successful.
Performing Program and Verify Operations...
Program/Verify Operation failed.
Byte 15370 does not match (FF != 00)
ERROR: [Labtools 27-3161] Flash Programming Unsuccessful
program_hw_cfgmem: Time (s): cpu = 00:00:00 ; elapsed = 00:00:21 . Memory (MB): peak = 784.484 ; gain = 0.000
ERROR: [Common 17-39] 'program_hw_cfgmem' failed due to earlier errors.

 This indicates your device got programed. those warnings can be safely ignored.
INFO: [Labtools 27-1434] Device xc7a50t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
Resolution:
Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
FPGA is getting programmed but flash got problems while programming. Let me see if I could get anything from log

Similar Messages

  • Can I use my custom board with LPC2378 processor with ULINK2 on Embedded module for ARM and LV.

    Issues in ARM and LV
    Can I use my custom board with LPC2378 processor with ULINK2 on Embedded module for ARM and LV.How can I create my elemental I/O vis or I have to use the existing Keil board vis. Confused. Please help.
    I have LV8.6. Which ver of embedded module for ARM should I buy. Website shows combined price for ARM module and LV. But I already have LV8.6 so what is the cost of module.
    Regards
    Shradha

              If the processor of your development board  is the cortex-M3 core, I think you do not have to buy keil board. Now, there are a lot of OS and emulator suitable for Cortex-M3.
              Operating system I am referring to  is the real time operating system such as keil RTX,uCOS,FreeRTOS,CooCox CoOS and so on. CooCox CoOS is very new, you can get more information from http://coocox.org/ .
              Emulator or debugging tools such as ULINK2,st-link,Jlink,CooCox Colink and so on. CooCox Colink is also very new.  You can download the Colink Plugin from here Colink Plugin . 

  • Impossible to program a Kintex-7 with Vivado Lab Edition 2015.[1,2]

    Hello,
    I'm trying to program a Kintex-7 160T with a Platform USB Cable and Vivado Lab Edition 2015.1 and 2015.2. The JTAG chain is shown on the screenshot below:
    It is possible to program very small bitstream (~600KiB). But when the bitstreams become bigger, the configuration fails.
    Configuring the same FPGA on the same board with Impact 14.2 is working.
    How can I configure the FPGA with Vivado Lab Edition?
    Olivier

    For the following tests, I'm using two bitstream files:
    logic.bit:
    Very basic design which sets pins to some values.The file is compressed and its size is 676,383 bytes
    ISE 14.2 has been used to generate it.
    logic_vivado.bit:
    It's our design.
    Its size is 6,692,674 bytes
    Vivado 2014.4 has been used to generate it.
    I'm using two computers for these tests:
    A Windows7 computer with iMPACT 14.2
    A Windows8 computer with Vivado Lab Edition 2015.1
    The same board and the same Platform USB Cable (DLC9) were used.
    For each tool, I'm configuring the "logic.bit" bitstream and then the "logic_vivado.bit" bistream.
    Here is the log of iMPACT 14.2:
    Welcome to iMPACT
    iMPACT Version: 14.2
    // *** BATCH CMD : setMode -bs
    // *** BATCH CMD : setMode -bs
    // *** BATCH CMD : setMode -bs
    // *** BATCH CMD : setMode -bs
    GUI --- Auto connect to cable...
    // *** BATCH CMD : setCable -port auto
    INFO:iMPACT - Digilent Plugin: Plugin Version: 2.4.4
    INFO:iMPACT - Digilent Plugin: no JTAG device was found.
    AutoDetecting cable. Please wait.
    PROGRESS_START - Starting Operation.
    Connecting to cable (Usb Port - USB21).
    Checking cable driver.
    Driver file xusbdfwu.sys found.
    Driver version: src=1027, dest=1027.
    Driver windrvr6.sys version = 10.2.1.0. WinDriver v10.21 Jungo (c) 1997 - 2010 Build Date: Aug 31 2010 x86_64 64bit SYS 14:14:44, version = 1021.
    Cable PID = 0008.
    Max current requested during enumeration is 280 mA.
    Type = 0x0605.
    Cable Type = 3, Revision = 0.
    Setting cable speed to 6 MHz.
    Cable connection established.
    Firmware version = 1100.
    File version of C:/Programs/Xilinx/14.2/ISE_DS/ISE/data/xusbdfwu.hex = 1100.
    Firmware hex file version = 1100.
    PLD file version = 0012h.
    PLD version = 0012h.
    PROGRESS_END - End Operation.
    Elapsed time = 0 sec.
    Type = 0x0605.
    ESN not available for this cable.
    Attempting to identify devices in the boundary-scan chain configuration...
    INFO:iMPACT - Current time: 7/22/2015 5:50:01 PM
    // *** BATCH CMD : Identify -inferir
    PROGRESS_START - Starting Operation.
    Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc7k160t, Version : 0
    INFO:iMPACT:1777 -
    Reading C:/Programs/Xilinx/14.2/ISE_DS/ISE/kintex7/data/xc7k160t.bsd...
    INFO:iMPACT - Using CseAdapterBSDevice
    INFO:iMPACT:501 - '1': Added Device xc7k160t successfully.
    '1': : Manufacturer's ID = Xilinx xcf32p, Version : 13
    INFO:iMPACT:1777 -
    Reading C:/Programs/Xilinx/14.2/ISE_DS/ISE/xcfp/data/xcf32p.bsd...
    INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
    '2': : Manufacturer's ID = Xilinx xcf32p, Version : 13
    INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
    '3': : Manufacturer's ID = Xilinx xcf32p, Version : 13
    INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
    '4': : Manufacturer's ID = Xilinx xc7k70t, Version : 0
    INFO:iMPACT:1777 -
    Reading C:/Programs/Xilinx/14.2/ISE_DS/ISE/kintex7/data/xc7k70t.bsd...
    INFO:iMPACT - Using CseAdapterBSDevice
    INFO:iMPACT:501 - '1': Added Device xc7k70t successfully.
    done.
    PROGRESS_END - End Operation.
    Elapsed time = 1 sec.
    // *** BATCH CMD : identifyMPM
    // *** BATCH CMD : assignFile -p 5 -file "P:/[...]/logic.bit"
    '5': Loading file 'P:/[...]/logic.bit' ...
    done.
    INFO:iMPACT - Using CseAdapterBSDevice
    INFO:iMPACT:2257 - Startup Clock has been changed to 'JtagClk' in the bitstream stored in memory,
    but the original bitstream file remains unchanged.
    UserID read from the bitstream file = 0xFFFFFFFF.
    Data width read from the bitstream file = 4.
    INFO:iMPACT:501 - '5': Added Device xc7k160t successfully.
    INFO:iMPACT - Current time: 7/22/2015 5:50:52 PM
    // *** BATCH CMD : Program -p 5
    PROGRESS_START - Starting Operation.
    Maximum TCK operating frequency for this device chain: 15000000.
    Validating chain...
    Boundary-scan chain validated successfully.
    1: Device Temperature: Current Reading: 65.14 C, Min. Reading: 41.02 C, Max. Reading: 65.63 C
    1: VCCINT Supply: Current Reading: 0.990 V, Min. Reading: 0.987 V, Max. Reading: 0.993 V
    1: VCCAUX Supply: Current Reading: 1.813 V, Min. Reading: 1.790 V, Max. Reading: 1.816 V
    5: Device Temperature: Current Reading: 58.74 C, Min. Reading: 56.28 C, Max. Reading: 59.23 C
    5: VCCINT Supply: Current Reading: 0.987 V, Min. Reading: 0.987 V, Max. Reading: 0.993 V
    5: VCCAUX Supply: Current Reading: 1.811 V, Min. Reading: 1.811 V, Max. Reading: 1.813 V
    INFO:iMPACT - Creating XC7K160T device.
    PROGRESS_START - Starting Operation.
    '5': Programming device...
    Match_cycle = NoWait.
    Match cycle: NoWait
    LCK_cycle = NoWait.
    LCK cycle: NoWait
    done.
    INFO:Cse - Status register values:
    INFO:Cse - 0011 1111 1001 1110 0000 1000 0000 0010
    INFO:Cse - '5': Completed downloading bit file to device.
    INFO:Cse - '5': Programming completed successfully.
    PROGRESS_END - End Operation.
    Elapsed time = 2 sec.
    Match_cycle = NoWait.
    Match cycle: NoWait
    LCK_cycle = NoWait.
    LCK cycle: NoWait
    INFO:iMPACT - '5': Checking done pin....done.
    '5': Programmed successfully.
    // *** BATCH CMD : assignFile -p 5 -file "P:/[...]/logic_vivado.bit"
    '5': Loading file 'P:/AS/OCt/dvk310/as1662/logic_vivado.bit' ...
    done.
    INFO:iMPACT - Using CseAdapterBSDevice
    INFO:iMPACT:2257 - Startup Clock has been changed to 'JtagClk' in the bitstream stored in memory,
    but the original bitstream file remains unchanged.
    UserID read from the bitstream file = 0XFFFFFFFF.
    Data width read from the bitstream file = .
    INFO:iMPACT:501 - '5': Added Device xc7k160t successfully.
    INFO:iMPACT - Current time: 7/22/2015 5:51:22 PM
    // *** BATCH CMD : Program -p 5
    PROGRESS_START - Starting Operation.
    Maximum TCK operating frequency for this device chain: 15000000.
    Validating chain...
    Boundary-scan chain validated successfully.
    1: Device Temperature: Current Reading: 66.13 C, Min. Reading: 41.02 C, Max. Reading: 66.13 C
    1: VCCINT Supply: Current Reading: 0.990 V, Min. Reading: 0.987 V, Max. Reading: 0.993 V
    1: VCCAUX Supply: Current Reading: 1.813 V, Min. Reading: 1.790 V, Max. Reading: 1.816 V
    5: Device Temperature: Current Reading: 58.74 C, Min. Reading: 58.25 C, Max. Reading: 59.23 C
    5: VCCINT Supply: Current Reading: 0.990 V, Min. Reading: 0.990 V, Max. Reading: 0.990 V
    5: VCCAUX Supply: Current Reading: 1.811 V, Min. Reading: 1.790 V, Max. Reading: 1.813 V
    PROGRESS_START - Starting Operation.
    '5': Programming device...
    Match_cycle = NoWait.
    Match cycle: NoWait
    LCK_cycle = NoWait.
    LCK cycle: NoWait
    done.
    INFO:Cse - Status register values:
    INFO:Cse - 0011 1111 1001 1110 0000 1000 0000 0010
    INFO:Cse - '5': Completed downloading bit file to device.
    INFO:Cse - '5': Programming completed successfully.
    PROGRESS_END - End Operation.
    Elapsed time = 15 sec.
    Match_cycle = NoWait.
    Match cycle: NoWait
    LCK_cycle = NoWait.
    LCK cycle: NoWait
    INFO:iMPACT - '5': Checking done pin....done.
    '5': Programmed successfully.
    Now, the log of Vivado 2015.1:
    start_gui
    open_hw
    connect_hw_server
    INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
    INFO: [Labtools 27-2222] Launching hw_server...
    INFO: [Labtools 27-2221] Launch Output:
    ****** Xilinx hw_server v2015.1
    **** Build date : Apr 27 2015-19:25:29
    ** Copyright 1986-1999, 2001-2015 Xilinx, Inc. All Rights Reserved.
    open_hw_target [lindex [get_hw_targets -of_objects [get_hw_servers localhost]] 0]
    INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/Port_#0001.Hub_#0005
    current_hw_device [lindex [get_hw_devices] 0]
    refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] 0]
    INFO: [Labtools 27-1434] Device xc7k70t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    2. Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    current_hw_device [lindex [get_hw_devices] 4]
    refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] 4]
    INFO: [Labtools 27-1435] Device xc7k160t (JTAG device index = 4) is not programmed (DONE status = 0).
    set_property PROBES.FILE {} [lindex [get_hw_devices] 4]
    set_property PROGRAM.FILE {P:/[...]/logic.bit} [lindex [get_hw_devices] 4]
    program_hw_devices [lindex [get_hw_devices] 4]
    INFO: [Labtools 27-3164] End of startup status: HIGH
    refresh_hw_device [lindex [get_hw_devices] 4]
    INFO: [Labtools 27-1434] Device xc7k160t (JTAG device index = 4) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    2. Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    set_property PROBES.FILE {} [lindex [get_hw_devices] 4]
    set_property PROGRAM.FILE {P:/[...].bit} [lindex [get_hw_devices] 4]
    program_hw_devices [lindex [get_hw_devices] 4]
    ERROR: [Labtools 27-3165] End of startup status: LOW
    program_hw_devices: Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 710.723 ; gain = 0.000
    ERROR: [Common 17-39] 'program_hw_devices' failed due to earlier errors.
    With Vivado, the configuration of the second file fails because DONE does not rise.
     

  • Custom Interface Program Errors out with ORA-01722: invalid number in R12

    Hi,
    We were upgrading to R12 in which our custom interface load program errors out with "ORA-01722: invalid number". Please find structure of control file as below :
    LOAD DATA
    APPEND
    INTO TABLE RRAT_RCAP_GIO_MAN_ADJ_STG
    FIELDS TERMINATED BY ',' OPTIONALLY ENCLOSED by '"'
    TRAILING NULLCOLS
    PERIOD_NAME CHAR "ltrim(rtrim(:PERIOD_NAME))"
    ,SOURCE_TYPE CHAR "ltrim(rtrim(:SOURCE_TYPE))"
    ,ADJ_ACCOUNT CHAR "ltrim(rtrim(:ADJ_ACCOUNT))"
    ,USD_NET                    INTEGER EXTERNAL
    ,ERROR_CODE CONSTANT 'LOADED DATA'
    ,PROCESS_FLAG CONSTANT 1
    ,CREATED_BY "fnd_global.user_id"
    ,CREATION_DATE sysdate
    ,LAST_UPDATED_BY "fnd_global.user_id"
    ,LAST_UPDATE_DATE sysdate
    ,LAST_UPDATE_LOGIN "fnd_global.login_id"
    ,REQUEST_ID "fnd_global.conc_request_id"
    =====================================Log file ================
    Record 1: Rejected - Error on table "XXATORCL"."XXAT_VCAP_GIO_MAN_ADJ_STG", column USD_NET.
    ORA-01722: invalid number
    ===================sample file ==========================
    JUL-11,Manual,8213-880011-00000000-259390-1Z-0000-0000,1001
    JUL-11,Manual,8213-880011-00000000-253701-1Z-0000-0000,73
    ==========================================
    I had tried with last successfully uploaded file as well which is also now not uploading.
    Please help me in this issue.
    Thanks,
    Piyush

    i am using R12 now. Please avoide INTEGER EXTERNAL part from the control file. please refer below :
    LOAD DATA
    APPEND
    INTO TABLE RRAT_RCAP_GIO_MAN_ADJ_STG
    FIELDS TERMINATED BY ',' OPTIONALLY ENCLOSED by '"'
    TRAILING NULLCOLS
    PERIOD_NAME CHAR "ltrim(rtrim(:PERIOD_NAME))"
    ,SOURCE_TYPE CHAR "ltrim(rtrim(:SOURCE_TYPE))"
    ,ADJ_ACCOUNT CHAR "ltrim(rtrim(:ADJ_ACCOUNT))"
    ,USD_NET
    ,ERROR_CODE CONSTANT 'LOADED DATA'
    ,PROCESS_FLAG CONSTANT 1
    ,CREATED_BY "fnd_global.user_id"
    ,CREATION_DATE sysdate
    ,LAST_UPDATED_BY "fnd_global.user_id"
    ,LAST_UPDATE_DATE sysdate
    ,LAST_UPDATE_LOGIN "fnd_global.login_id"
    ,REQUEST_ID "fnd_global.conc_request_id"
    ====================
    Srini,
    Just one thing i want to explain you that previously it was working fine but suddenly (might after upgrading in R12) started giving error. I had tested with old data files also which were loaded successfully in the system. but now it is giving error. Please help me in this issue.
    Regards,

  • Hello. I am having much difficulty using any software drum program. I am trying to use EZ Drummer and the drum programs in Logic, with limited success. I was using them, and they were working, but this is no longer the case. Please help me navigate my way

    Hello.
    I am having much difficulty using any software drum program. I am trying to use EZ Drummer and the drum programs in Logic, with limited success. I was using them, and they were working, but this is no longer the case. Please help me navigate my way through these issues, if possible.
    Thanks.
    Eric

    Aha! I have sorted it.
    For those with similar problems, the solution is this:
    Macintosh HD > Library > Audio > MIDI Drivers
    Then delete DigiDioMidiDriver.plugin

  • Customer Statement with opening and closing balances

    Dear Forum,
    The users want to generate the Customer Statement with opening and closing balances like the traditional one. The statement now generated gives the list of all open items as on date, but the users want the statement with opening balances as on the date of the begining of the range specified and the closing balance at the end of the period for which the statement is generated. Is there a way to generate the same from the system.
    Thanks for the help.
    Regards,

    Hi,
    SPRO> Financial Accounting (New) > Accounts Receivable and Accounts Payable > Customer Accounts > Line Items > Correspondence > Make and Check Settings for Correspondence
    You can use the program RFKORD10 with correspondance type SAP06 for your company code
    This program prints account statements and open items lists for customers and vendors in letter form. For account statements, all postings between two key dates, as well as the opening and closing balance, are listed.
    Regards,
    Gaurav

  • Customer Experince with nokia N76 from may 2007 ti...

    Customer Experince with nokia !!!!
    today 20-09/2008 and still under progress!!!!!
    they wait till my warrenty end to reply to my problem...
    this just simple of emails i have the rest.....
    Till now more than 20 day's investagtion?what a careline ur just lazy and careless line but promise u will have a very big problem.bye
    @nokia.com wrote:
    > Dear Samir,
    > Thank you for emailing Nokia Careline.
    > With regarding to your inquiry kindly note that I did check the case again for you and it is still under process and once we got any new feedbacks be sure that we will come back to you, we are so sorry for being late.
    > Should you require any further assistance, please do not hesitate to contact the Nokia Careline and speak to any of our Customer Service Executives on 19876, between the hours of 9am and 8pm (local time), Saturdays to Thursdays. For online assistance, please visit http://www.nokia.com/mea/contactus.
    > Register with Nokia Connections and you will receive a bimonthly fun newsletter about the latest products and events. Click below to register.
    > http://www.nokia.com/mea/nokiaconnections
    > Kind regards,
    > Nokia Careline
    > Nokia Middle East & Africa
    >
    > [THREAD ID:2-48X8FP]
    > -----Original Message-----
    > From: @yahoo.com
    > Sent: 28/03/2008 04:46:14
    > To:
    > Subject: Nokia Careline - Samir Khalil - Nokia N76
    > Dear Mr. *****,
    > from you sent case no. 2-262950130 u told me 48hrs and
    > i will have reply till now 10 days no one from your
    > team call me or told me anything about my complaont is
    > it Nokia cant find any answer or what? u will
    > investigate forever and ur careline number in Egypt
    > doesnt work its give Error which means NO nokia at all
    > in Egypt so plz dont send ur customer service in ur
    > emails its just Fake number not working number i hope
    > if u in egypt to try it by yourself.
    > i will print all emails about my case today and all
    > paper's related to my esclate and insurance and the
    > warranty and will wait Last 48hrs am sorry i will take
    > legal action to nokia Egypt & middle east,hope to
    > contact me with final result not to wait anymore not
    > accepted by any mean.
    > ***This msg. to Mr.
    > Samir Maher
    > EGYPT
    Dear Samir,
    Thank you for emailing Nokia Careline.
    With regards to your enquiry, kindly be informed that your case is still under investigation and a resolution is expected shortly. Rest assured, your case has not gone forgotten and we will revert as soon as a resolution is achieved.
    Should you require any further assistance, please do not hesitate to contact the Nokia Careline and speak to any of our Customer Service Executives on 19876, between the hours of 9am and 8pm (local time), Saturdays to Thursdays. For online assistance, please visit ‘ASK Nokia’ at our website www.nokia.com.
    Register with Nokia Connections and you will receive a bimonthly fun newsletter about the latest products and events. Click below to register.
    http://mea.nokia.com/A4211102
    Kind regards,
    Nokia Careline
    Nokia Middle East & Africa
    [THREAD ID:2-48X8FP]
    -----Original Message-----
    From: @yahoo.com
    Sent: 20/03/2008 06:12:29
    To:
    Subject: complian is done
    Dear ,
    48hrs passed and nothing happen no one come back tome
    with any feedback frpm complain department actualy its
    72hrs my case no. 2-262950130 for nokia n76.
    that's the maximum respect you show to you customer's?
    am asked lot's to call me or your manager to call me
    samir or the resposible to this
    department call me and nothing happen.
    Dear Samir,
    Thank you for emailing Nokia Careline.
    Thanks you for your cooperation, I do appreciate that
    and I did a
    complain for you and here is the Service Requisite
    for you complain:
    2-262950130. our complain department will come back
    to you within maximum 48
    Hours.
    Should you require any further assistance, please do
    not hesitate to
    contact the Nokia Careline and speak to any of our
    Customer Service
    Executives on 19876, between the hours of 9am and 8pm
    (local time),
    Saturdays to Thursdays. For online assistance, please
    visit
    http://www.nokia.com/mea/contactus.
    Register with Nokia Connections and you will receive a
    bimonthly fun
    newsletter about the latest products and events.
    Click below to register.
    http://www.nokia.com/mea/nokiaconnections
    Kind regards,
    Nokia Careline
    Nokia Middle East & Africa
    [THREAD ID:2-48X8FP]
    -----Original Message-----
    From: @yahoo.com
    Sent: 16/03/2008 23:46:13
    To:
    Subject: Advice about your complian
    Dear ,
    this 2nd time i send this information in 3 weeks :
    Nokia N76:
    1. Job Order Number./1526584 & 1537749
    2. Authorized Service Center./Raya
    3. your full name and your phone number./Samir Maher
    Khalil-
    4. issues./1. Camera with very bad Quality &
    resultion. 2.Voice incoming calls&outgoing calls. 3.
    Material of the mobile its very very bad & not safty
    for humane being for use it.. 4. Its restart suddenly
    wizout any reason manytimes on daily basis .
    5.Software not helpful at all very completcated & have
    errors cant be able to download any new proudcts.
    6.low or NO at all performence battery there no
    battery u can say so empty every 12hrs maybe less
    maybe more deping. 7.Handfree with errors in the
    cable. 8.sms date not accurate with local time .
    9.high price with no need 3650 LE in 25/05/2007.
    my mobile after this date sent to Raya to fix it
    twice everytime not less than week with very bad
    customer service attuide which bad experince for
    customer nokia(could u plz visit any of them as
    mystery shopper)let's feel as customer,after all they
    changed for me the battery & handfree & sent my mobile
    again for the same problem's till now suffring from
    this mobile while my job at Mobinil which means
    Egyptian mobile opertator,i think now u got it how
    much my mobile important in my life & my work.please i
    need a help from Nokia i have been esclated from 3
    weeks and till now u didnt solve anything 4 me or even
    give me any helpful feedback.waiting ur feedback plz
    offer me solve for every problem from those and
    clairfy with details in ur email ok.
    5. faulty.
    6. Serial Number./
    7. Date of purchase./ 25-05-2007
    8. Date of send to repair./1st. time : 16/06/2007 &
    2nd. time: 19/06/2007
    9. Person name that you did contact with./sure can't
    remmber but i contact with Raya- Mesadak shop manager.
    ****Case no. : 2-258561699
    ***I will wait call from you
    Thanks
    Samir
    Mpderator note: personal information removed. It is unwise to publish personal contact information and unique serial numbers on the internet.
    Message Edited by michaels on 20-Sep-2008 03:25 PM

    The issue of firmware on operator-variant models is a common sore point on these boards.
    Unfortunately, Nokia's hands are tied. The operators have to approve new versions of the software before they can be applied to any phone sold to them by Nokia as their own variant.
    You will probably find that the operators' customer service staff are trained only to give advice on network services, if you want answers on issues other than that you need to locate the operator's head office and pester them. If you are a contract customer, that address should appear on your bill.

  • Creation of Customer Master with Sales Data

    Hi Experts,
    I have a requirement in whic i have to Create/Change Customer Master through Custom program.
    The Customer Master details will be stored in file and Retrieved through program with the following Tables to be Updated
    KNA1 - Customer Master
    ADRC - Address
    ADR2 -  Telephone Number
    ADR3 - Fax Number
    ADR6 - E-Mail Addresses
    KNVV - Customer Master Sales Data
    KNVP - Customer Master Partner Functions
    KNVK - Customer Master Contact Partner
    From SDN  i came to know about  CMD_EI_API . Can you please tell me which is the method suitable for Creation/Change of Customer Master with the above details.
    Regards,
    Shrikanth R

    Hi Experts,
    Please help me how to use MAINTAIN_BAPI method in Class CMD_EI_API  in order to create Customer Master.
    Regards,
    Shrikanth R
    Edited by: SHRIKANTH R on Jul 15, 2010 11:59 AM

  • DISPLAY ONLY CUSTOM MESSAGE WITH SRW.MESSAGE

    Hi.I created a report with Report Builder 9i.I also created two form parameters of type date.I put inside the validation trigger in the property inspector the following plsql code.
    function FROM_DATEValidTrigger return boolean is
    v_date VARCHAR2(12);
    date1 date;
    begin
    v_date := :from_date;
    date1:=TO_DATE(v_date, 'DD/MM/YYYY');
    return (TRUE);
    EXCEPTION
    WHEN OTHERS THEN
    SRW.MESSAGE(546,'My Message');
    return (FALSE);
    end;
    This code works fine.Supose the user input wrong data for example 29/02/2003 displays an alert message
    with my custom message.But after this displays an another message from the Report Builder like this:
    Rep-0546:Invalid parameter input.
    My question is .Is it possible display only my custom message with the SRW.MESSAGE built in procedure?
    Thanks in advance Panagiotis.

    Hi,
    I am using this function in my Validation process to display Error when user gives null input
    function DEPT_IDValidTrigger return boolean is
    begin
         -- Check for Null Value here
         if(:DEPT_ID is null) then
         raise SRW.NULL_ARGUMENTS;
         else
    return true;
    end if;
         Exception
              -- Exception For Null Value
    when SRW.NULL_ARGUMENTS then
         srw.message(100, 'Please Enter Dept ID');
    raise srw.program_abort;
    end function ___ ;
    Function is correct but the error message i am getting at the client side is as follows ::
    "*MSG-00100: Please enter Dept IDREP-1419: 'dept_idvalidtrigger': PL/SQL program aborted.* "
    It seems it is adding some system level error.
    but i want only my custom message to be displayed on the client side ::
    *"Please Enter Dept ID"*
    Is this Possible in Oracle 6i.

  • How to use 2 1409 boards with sony xc55 to acquire 2 images simutaneously

    We want to use two 1409 boards with two sony xc55 cameras to acquire two images simutaneously. From the attached files, you will find what I have done and the problems. I just followed the example program from you. But it doesn't work well. Could you help me? thank you very much.
    Attachments:
    What_happen_now.doc ‏25 KB
    XC55_Asynchronous_Reset_ExampleTwo_Cameras1.vi ‏265 KB

    Kobel,
    Both cameras are being triggered at the same time, and both boards are aquiering the image at the same time. The problem you are seeing is related to copying the correct image.
    The IMAQ driver is not currently multithreaded, therefore your second copy opperation can not execute until your first one is done. The trouble is that you have the immediate terminal set to false which forces the copy to wait for the next available image before returning.
    Since the second copy does not execute until the first one is complete, it will consider the frame that just passed as old and wait for the next available frame.
    The quick solution is to change the immediate terminal to true on both copies. The best solution depends on your entie application. You may want to re
    ad this application note on Ring Aquisitions for ideas.
    Regards,
    Amaury Rolin
    NI Applications Engineer

  • S_ALR_87012176 - AR,  Customer Evaluation with OI Sorted List

    Hi,
    Can someone tell how to read S_ALR_87012176 - Customer Evaluation with OI Sorted List in AR. What do the old, ovd and omt mean.
    Thanks,
    Ram

    This is a standard report.
    Enter the Customer range and Company Code.
    You can change the open item at key date forward, back or keep it as today.
    You then need to make any other selections.
    In terms of the output it is determined by the summarization levels. use the Information icon "I" to see the settings.
    Lastly you can change the bucket view by changing the days overdue groupings.
    Here is the information:
    The program displays the following payment history information:
    Sales figures such as annual sales and authorized deductions. This data is available in the system and only issued by this program.
    Information on whether the customer is a net payer or a cash discount payer. This information is displayed in the field "Type".
    The type of payer is determined by means of the payment volume:
    A net payer is a customer who usually exhausts the payment term and does not take any cash discounts.
    A cash discount payer is a customer who usually makes use of the cash discount deduction.
    Days in arrears. The average days in arrears are determined as follows:
    For each of the last five periods in which payments took place, the payment amount from each period is multiplied by the average days in arrears from each period. The results are added together and then divided by the total of the payments from the periods.
    The days in arrears are thus weighted with the payment amount.
    Last payment period. The period and the year (for example, 5 93) are displayed.
    In addition to analyzing payment history, this program evaluates customer open items. It structures the items it selects using a time schedule that you can define as you like, and displays them according to business area.
    The criteria you can choose for this analysis are as follows:
    Aging schedule for open items by due date for net payment
    Displayed next to "Net"
    Calculation: Due date for net payment - key date
    Due date forecast using the first cash discount days
    Displayed next to "Dsc"
    Calculation: Baseline date for payment + cash discount days 1 - key                                                                   date
    Probability of payment based on the weighted incoming payments in the past
    Displayed next to "Pay"
    Calculation: Baseline date for pmnt + cash disc.days 1 + average days                                                            in arrears
    Days overdue of items that are due
    Displayed next to "Ovd"
    Calculation: Key date - due date for net payment
    Examples of the open item analysis
    The item used in these examples contains the following data:
    Document date   04/01/1992
    Baseline date for payment   04/05/1992
    Terms of payment   8 days 5% / 14 days 2% / 21 days net
    Key date   04/15/1992
    The due date for net payment of this item is determined as follows:
    Baseline date for payment + net days
    The sorted list for this item would appear as follows:
    The (*) indicates in which column in the sorted list the item would appear for the different evaluations.
    Due date for net payment
    Due date for net payment - key date = number of days until the due                                        date for net payment
    04/26                    - 04/15    = 11 days
    Display in the list:
    I    1    I    2    I     3    I     4     I    5    I    6    I -
    I         I from  1 I from 11 I from 21 I from 31 I from 41 I I to  0   I to   10 I to   20 I to   30 I to   40 I         I -
    Explanation of column 1:
    Items displayed here are overdue.
    Explanation of column 6:
    Items displayed here are due in 41 days or more.
    Cash discount 1 due date
    Baseline date for payment + cash discount days-1 - key date
    04/05                     + 8                    - 04/15    = -2 days
    Display in the list:
    I    1    I    2    I     3    I     4     I    5    I    6    I -
    I         I from  1 I from 11 I from 21 I from 31 I from 41 I I to  0   I to   10 I to   20 I to   30 I to   40 I         I -
    Explanation of column 1:
    Items displayed here are overdue for the first cash discount.
    Explanation of column 6:
    Items displayed here are due in 41 or more days for the first cash discount.
    Forecast of incoming payments
    Baseline date for payt + cash disc.days 1 - key date + days in                                                          arrears
    04/05                  + 8                - 04/15    + 25    = 23 days
    Display in the list
    I    1    I    2    I     3    I     4     I    5    I    6    I -
    I         I from  1 I from 11 I from 21 I from 31 I from 41 I I to  0   I to   10 I to   20 I to   30 I to   40 I         I -
    Explanation of column 1:
    Items displayed here are overdue as regards cash discount 1.
    Explanation for column 6:
    Items displayed here are due in 41 or more days for the first cash discount.
    Days overdue
    Key date - due date for net payment
    04/15    - 04/26                  = -11 days
    Display in the list:
    I    1    I    2    I     3    I     4     I    5    I    6    I -
    I         I from  1 I from 11 I from 21 I from 31 I from 41 I I to  0   I to   10 I to   20 I to   30 I to   40 I         I -
    Explanation of column 1:
    Items displayed in this column are open but not yet due.
    Explanation of column 6:
    Items displayed here have been overdue for 41 or more days.
    Note
    The analysis types "Net", "Dsc", "Pay" are a future time frame for forecasting incoming payments. "Ovd" is the time frame for the past for analyzing overdue items.
    To estimate the probability of incoming payments, you should always request a sorted list by due date, cash discount days 1, and incoming payments forecast. Normally, the cash discount days 1 due date shows the earliest incoming payment, the latest due date and for the forecast of incoming payments the probable time of the incoming payment.

  • Custom infotype with table

    Hi Guyz,
    i have to create a custom infotype with a table in it.i have created the PS structure (PM01)with fields a,b,c,d,e. i gave the infotype charac, techn attr, activated the PA table and P structure . In the lay out editor i created a table and put the fields a, b and c. i dragged the input/output field on the table and then dragged the text fileds above them. when i check the layout i get the following error in the flow logic.
    Program MP988800 Screen 2000
    The field P9888-ZA is not assigned to a loop. "LOOP............ENDLOOP" must
    appear in "PBO" and "PAI"
    can anyone tell me how and where should i write the code for the table to get activated. Thanks a lot.

    HI Ranjeth,
      i have tried the code you provided. I am getting errors.can you please tell me what IT_TBCTRL_BEHAVIOR and IS_TBCTRL_BEHAVIOR are.
    i am getting the following errors.
    Statement CONTROLS is not defined.
    IS_TBCTRL_BEHAVIOR-CODETXT not defined
    IS_TBCTRL_BEHAVIOR-RATE not defined.
      i have replaced i_bc_tbctrl with the table name which i defined in the layout editor.  i have put your code in the flow logic. check the code below
    CONTROL: options TYPE TABLEVIEW USING SCREEN 2000.
    PROCESS BEFORE OUTPUT.
    LOOP AT IT_TBCTRL_BEHAVIOR
    INTO IS_TBCTRL_BEHAVIOR
    WITH CONTROL options
    CURSOR options-CURRENT_LINE.
    MODULE options_GET_LINES.
    ENDLOOP.
            general infotype-independent operations
      MODULE BEFORE_OUTPUT.
      CALL SUBSCREEN subscreen_empl   INCLUDING empl_prog empl_dynnr.
      CALL SUBSCREEN subscreen_header INCLUDING header_prog header_dynnr.
            infotype specific operations
      MODULE P9111.
      MODULE HIDDEN_DATA.
    PROCESS AFTER INPUT.
    LOOP AT IT_TBCTRL_BEHAVIOR.
    CHAIN.
    FIELD IS_TBCTRL_BEHAVIOR-CODETXT.
    FIELD IS_TBCTRL_BEHAVIOR-RATE.
    MODULE options_MODIFY ON CHAIN-REQUEST.
    ENDCHAIN.
    ENDLOOP.
    process exit commands
      MODULE EXIT AT EXIT-COMMAND.
            processing after input
            check and mark if there was any input: all fields that
            accept input HAVE TO BE listed here

  • Program to interact with Instron Machine

    Hi, back ten years ago, we had a program written with LabVIEW that controlled an Instron 4206 Stress machine by keeping it at a constant load because it was not an available setting on the machine. The program would correspond with the Instron machine and would maintain the constant load. We are trying to bring back the program and use it now. We know the program was written with version 5.1.1 and I posted it on the Conversion Forum to get it converted, but any ideas on how to link it back up with the Instron Machine? Is there some hardware we need to buy? We don't have the computer or the person who ran the last program so this is why I am asking.
    Thanks

    Hi Will,
    The last Instron I worked with (I programmed one for one of my clients) was a pretty old clunker that came with actual 5-1/4" floppy disks.  So I don't know if it was a 4206 or not.  At any rate, I used its GPIB interface to control it via LabVIEW.
    Assuming your Instron has a GPIB interface for external communication, which it probably does, you'll need a GPIB board for the computer which has your LabVIEW program on it.  Assuming you are using a relatively modern computer which has USB ports, I'd recommend this one: http://sine.ni.com/nips/cds/view/p/lang/en/nid/201586 
    You might also need a GPIB cable for connecting the Instron to the USB-to-GPIB adapter.
    Hope that's helpful,
    Diane

  • ILA issues with Vivado 2014.3

    I have been trying to run the Avnet Zedboard lab regarding the 802.11 Beacon frame receiver.
    http://zedboard.org/course/integrated-software-defined-radio-zynq%C2%AE-7000-all-programmable-soc
    I have gotten through all the lab work and am ready now to debug my bit stream using the ILA tool.
    dbg_hub and ila are clearly visible in the synthesized and implemented design, but when I load the bitstream I get the following warning messages:
    refresh_hw_device [lindex [get_hw_devices] 1]
    WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
    WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
    INFO: [Labtools 27-1434] Device xc7z020 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3. You must manually launch hw_server
    with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4.
    To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    WARNING: [Labtools 27-1974] Mismatch between the design programmed into the device xc7z020_1 and the probes file D:/Avnet/Zynq_SDR_2014/vivado/fmcomms2_zed_802_11_RX/fmcomms2_zed_802_11_RX.runs/impl_1/debug_nets.ltx.
    The device design has 0 ILA core(s) and 0 VIO core(s). The probes file has 2 ILA core(s) and 0 VIO core(s).
    Resolution:
    1. Reprogram device with the correct programming file and associated probes file OR
    2. Goto device properties and associate the correct probes file with the programming file already programmed in the device.
    So I ran :
    get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]
    1
    As recommended, I reduced my JTAG clock speed to 3MHz and it did not do anything either.
    I also went back to Vivado 2014.3 - same problem.
    So far no luck, and I have been at this for three days now - very frustrating.
    You cannot use an IDE without proper debugginh tool.
    Am I the only one having these issues? I see there were similar problems with Vivado 2013.3 about a year ago.
    But yet not fixed???
    Arne
     

    Hi
    In 2014.3 you will get these warnings if
    the clock connecetd to the debug hub is not free running clock or active
    the debug hub User Scan Chain setting is 2 or 4 (It is a 1 by default)
    We did realize that the resolution to this warning needs to be more explicit and have fixed it in 2014.4 as follows:
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    2. Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    Thanks
    Smitha

  • Updating Customs Declaration with CUS_INBOUND message

    We are attempting to update a Customs Declaration with a CUS_INBOUND message.  We can successfully update the ITN number from the header but cannot update anything from the lower level segments such as container #, seal #, BOL #, dates, etc.  These values are in the HDOC and TRAR segments. Any ideas on what is causing this?

    Hi Patty,
    Field CUMES in the iDoc holds the Technical Message Type.  The corresponding field in GTS is EMESS, and table /SAPSLL/TLEACM holds the Message definitions.  If you have 'CSFR' in the CUMES field, then we're probably talking about the I1560 Message Type - the US "Commodity Shipment Filing response".  Does that sound right?
    Provided the "Document Update" flag is set in that /SAPSLL/TLEACM entry, Form US_CSFR_DATA_MAP should run in program /SAPSLL/LCUHD_PPF_INTACTF12 during the iDoc processing.  But as you can see, only the LEGCOM entry gets created there - there is no code to deal with the Container Number.
    If you think that's a serious omission, then perhaps you need to create an OSS incident?
    Regards,
    Dave

Maybe you are looking for