A question about Xapp524 written by Marc Defossez

Hi Marc Defossez,
I have a question about Xapp524. Pls check the figure below. I find the frame pattern should be "00001111". But in the source code AdcFrame.vhd, I find the frame pattern is IntPatternA, IntPatternB, IntPatternC or IntPatternD which donot include "00001111". I cannot understand why. Could you explain it to more detail?
Thanks
 Rgds
Orange

Hi, Thanks for your reply. I don't know what version  it  is. But in the xapp524 “readme.txt” is found
1. REVISION HISTORY
Readme
Date                      Version                            Revision Description
=========================================================================
19/08/2012              1.0                                Initial Xilinx release.
=========================================================================
So i guess the version may be 1.0.
The code makes me confused is in the AdcFrame.vhd.   
-- A std_logic_vector is converted to a string.
function stdlvec_to_str(inp: std_logic_vector) return string is
variable temp: string(inp'left+1 downto 1) := (others => 'X');
begin
    for i in inp'reverse_range loop
        if (inp(i) = '1') then
            temp(i+1) := '1';
        elsif (inp(i) = '0') then
            temp(i+1) := '0';
        end if;
   end loop;
return temp;
end function stdlvec_to_str;
-- A string is converted to a std_logic_vector.
function str_to_stdlvec(Inp: string) return std_logic_vector is
variable Temp : std_logic_vector(Inp'range) := (others => 'X');
begin
    for i in Inp'range loop
        if (Inp(i) = '1') then
           Temp(i) := '1';
        elsif (Inp(i) = '0') then
            Temp(i) := '0';
        end if;
   end loop;
return Temp;
end function str_to_stdlvec;
-- In two wire mode a 12 bit ADC has 2 channels of 6 bits. The AdcBits stay at 12.
-- In two wire mode a 14 bit ADC has 2 channels of 8 bits. The AdcBits is set at 16.
-- In two wire mode a 16 bit ADC has 2 channels of 8 bits. The AdcBits stay at 16.
function FrmBits (Bits : integer) return integer is
variable Temp : integer;
begin
if (Bits = 12) then
Temp := 12;
elsif (Bits = 14) then
Temp := 16;
elsif (Bits = 16) then
Temp := 16;
end if;
return Temp;
end function FrmBits;
-- Word symmetry check
-- A word (16-bit) is checked for bit pair symmetry
-- Example: In one byte there are 16 possible symmetry positions.
-- 00000000, 00000011, 00001100, 00001111,
-- 00110000, 00110011, 00111100, 00111111,
-- 11000000, 11000011, 11001100, 11001111,
-- 11110000, 11110011, 11111100, 11111111,
-- Bit_7=Bit_6, Bit_5=Bit_4, Bit_3=Bit_2, and Bit_1=Bit_0
function SymChck (Inp: std_logic_vector) return std_logic is
variable Temp : std_logic_vector ((Inp'left-1)/2 downto 0) := (others => '0');
variable Sym : std_logic := '0';
begin
for n in (Inp'left-1)/2 downto 0 loop
Temp(n) := Inp((n*2)+1) xor Inp(n*2);
Sym := Temp(n) or Sym;
end loop;
assert false
report CR & " Pattern XORed/ORed = " & stdlvec_to_str(Temp) & CR
severity note;
return Sym;
end function SymChck;
-- When a symmetric byte, bit pattern is found, make the requested pattern rotate
-- by one bit to become a non-symmetric pattern.
function BitShft(Inp: std_logic_vector; Wire: integer) return std_logic_vector is
variable Temp : std_logic_vector (Inp'range):= (others => '0');
begin
-- Bit shift all bits.
-- Example: 16-bit frame word = 11111111_00000000 or 00000000_11110000
-- After shifting the word returned looks as: 11111110_00000001 and 00000000_01111000
if (SymChck(Inp) = '0') then
if (Wire = 1 ) then -- 1-wire, shift 15-bits
for n in Inp'left downto 0 loop
if (n /= 0) then
Temp(n) := Inp(n-1);
elsif (n = 0) then
Temp(Temp'right) := Inp(Inp'left);
end if;
end loop;
else -- (Wire = 2) -- 2-wire, shift 8-bits
for n in (Inp'left-8) downto 0 loop
if (n /= 0) then
Temp(n) := Inp(n-1);
elsif (n = 0) then
Temp(Temp'right) := Inp(Inp'left-8);
end if;
end loop;
end if;
elsif (SymChck(Inp) = '1') then
-- Don't do anything, return the word as it came in.
Temp := Inp;
end if;
assert false
report CR &
" Pattern Shifted = " & stdlvec_to_str(Temp) & CR &
" Comparator Value A = " & stdlvec_to_str(Temp(15 downto 8)) & CR &
" Comparator Value B = " & stdlvec_to_str(Temp(7 downto 0)) & CR
severity note;
return Temp;
end function BitShft;
-- Bit swap operation:
-- Bit n of the output string gets bit n-1 of the input. ex: out(7) <= In(6).
-- Bit n-1 of the output string gets bit n of the input. ex: out(6) <= In(7).
-- Bit n-2 of the output string gets bit n-3 of the input. ex: out(5) <= In(4).
-- Bit n-3 of the output string gets bit n-2 of the input. ex: out(4) <= In(5).
-- and etcetera....
-- This: Bit_7, Bit_6, Bit_5, Bit_4, Bit_3, Bit_2, Bit_1, Bit_0.
-- Results in: Bit_6, Bit_7, Bit-$, Bit_5, Bit_2, Bit_3, Bit_0, Bit_1.
function BitSwap(Inp: std_logic_vector) return std_logic_vector is
variable Temp : std_logic_vector (Inp'range);
begin
for n in (Inp'left-1)/2 downto 0 loop
Temp((n*2)+1) := Inp(n*2);
Temp(n*2) := Inp((n*2)+1);
end loop;
assert false
report CR &
" Pattern Bit Swapped = " & stdlvec_to_str(Temp) & CR &
" Comparator Value C = " & stdlvec_to_str(Temp(15 downto 8)) & CR &
" Comparator Value D = " & stdlvec_to_str(Temp(7 downto 0)) & CR
severity note;
return Temp;
end function BitSwap;
function TermOrNot (Term : integer) return boolean is
begin
if (Term = 0) then
return FALSE;
else
return TRUE;
end if;
end TermOrNot;
-- Constants
-- Transform the pattern STRING into a std_logic_vector.
constant IntPattern :
std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := str_to_stdlvec(C_FrmPattern);
-- Shift the pattern for one bit.
constant IntPatternBitShifted :
std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := BitShft(IntPattern, C_AdcWireInt);
-- Bit swap the by one bit shifted pattern.
constant IntPatternBitSwapped :
std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := BitSwap(IntPatternBitShifted);
-- Define the bytes for pattern comparison.
constant IntPatternA : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
    IntPatternBitShifted(FrmBits(C_AdcBits)-1 downto FrmBits(C_AdcBits)/2);
constant IntPatternB : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
    IntPatternBitShifted((FrmBits(C_AdcBits)/2)-1 downto 0);
constant IntPatternC : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
    IntPatternBitSwapped(FrmBits(C_AdcBits)-1 downto FrmBits(C_AdcBits)/2);
constant IntPatternD : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
    IntPatternBitSwapped((FrmBits(C_AdcBits)/2)-1 downto 0);
The intPatternA, intPatternB, intPatternC and intPatternD are used as a trainning pattern for the frameclock. As I think, the training pattern should be C_FrmPattern(C_FrmPattern is "11110000"  when  adcbits  is 16 and the wire is 2). Why do you use intPatternA to intPatternD as the training pattern? 
 The AdcFrame.vhd is attached.
 

Similar Messages

  • HT4972 Good afternoon! I addressed in the AT&T company with a question about untying of a sim card of AT&T from my iPhone, all of them made Iphone now is unlocked, I executed all points which were written: To complete the unlock, simply  1 . Open iTunes o

    Good afternoon! I addressed in the AT&T company with a question about untying of a sim card of AT&T from my iPhone, all of them made Iphone now is unlocked, I executed all points which were written:
    To complete the unlock, simply
    1 . Open iTunes on your Mac or PC and verify that you have Internet connectivity.
    2 . Ensure a SIM card is inserted into your iPhone.
    3 . Connect your iPhone using the dock connector to USB cable that came with your iPhone.
    4 . Backup and restore your iPhone using iTunes. For information on backup and restore, please visit http://support.apple.com/kb/HT1414.
    5 . After restoring, your iPhone will be unlocked.
    Additional information on unlocking can be found at http://support.apple.com/kb/TS3198
    I made everything as well as was written, but on completion of the ios 6.1.3 installation the iPhone asks to be activated through wifi or through a wire to the computer.
    I connected Iphone to the computer and the text takes off: (Were sorry, we are unable to continue with your activation at this time. Please TR again later, or contact customer care! )
    how to me to activate my iPhone?
    I hope for your help....

    Hey APPLELovestory,
    We have an article that goes over troubleshooting iPhone activation issues here:
    iPhone: Troubleshooting activation issues
    http://support.apple.com/kb/TS3424
    Perform the following steps:
    Restart the iPhone.
    Try another means of reaching the activation server and attempt to activate.
    Try connecting to Wi-Fi if you're unable to activate using a cellular data connection.
    Try connecting to iTunes if you're unable to activate using Wi-Fi.
    Restore the iPhone.
    If you receive an alert message when you attempt to activate your iPhone, try to place the iPhone in recovery mode and perform a restore. If you're still unable to complete the setup assistant due to an activation error, contact Apple for assistance.
    Hope that helps,
    David

  • Questions about using a PDF form online

    I have a client who wants to create an online version of a PDF form that they are currently using. I am currently trying to explain to them that this would be best done as an HTML web form, but I may not win this argument. I have only used PDFs for printed forms (either hand-written or using form fields) so I am not familiar with any problems that converting the form for use online would entail.
    When the 'Submit' button is pressed on the form, is there a way to redirect to another web page after the data is submitted? (i.e. does the PDF have access to it's outer 'environment'?)
    Are there any security issues submitting data using the PDF form as opposed to using a standard web form? (There may be sensitive data being submitted)
    I'm not sure how they plan to handle the data that is submitted, but there are several options in the submitForm parameters - I am assuming that using the HTML option would submit the data in the same format as a web form would. Am I correct here?
    Can the PDF be prevented from being downloaded or printed? This form should only be used to submit data to their server, not as a printed form.
    Are there any other 'gotchas' that I need to look out for? Does anyone have a recommendation for a site which contains any tutorials or guidelines for using PDF forms online?

    Thanks for the information.
    Yes, I agree that there is less reason for it to be a PDF form (Actually, no real reason other than the original form already exists in PDF), but I am unfortunately in the position that my input in this project is not necessarily being considered (my company is following direct -and inconsistent- directions from the client, and I have no contact with the client to ask questions about what their actual needs are). I have been told to give the client the 'Tomato' that they are asking for, even though they are describing an 'Apple'.
    The reason this form is not meant to be printed is that we have replaced the 'Signature' fields from the original form with checkboxes that the user must check to confirm they have 'signed' the document. If they are to print/fax/whatever the document, they should use the original form, not this one. And I am still trying to explain to them that this would be better served with an HTML web form, but I fear I am losing that battle.
    I have brought up the fact that it is not 100% guaranteed that Acrobat will be available in the browser, although I can only guess that it is less than likely that anyone will not have some sort of PDF viewer available to their browser.
    I will also mention that issues may arise if the file is not submitted from within the browser.

  • Question about lead in music for podcasting.

    Question about lead in music for podcasting.
    I listen to many other podcasts and there are those which play lead in music with bit of music inside their podcast. The music are songs we all know and enjoy. I have notice that they only play short small clips of these songs and are not royalty free songs.
    My Question would be is there a limit of time which you can play any song with out paying for it and use it in a podcast legally.
    Thanks Mike

    Legally you cannot use copyright music without written permission or a licence from a recognized authority, no matter how short the clip. Many people do this and get away with it (and for full tracks too) and it's debatable how much notice anyone is likely to take, or whether they would be bothered about a short clip. But the legal position is, it's not legal.

  • Question about the DB adapter

    Question about the DB adapter
    ns2006.0.7
    Question:
    It seems that we can only have 1 db adapter, but in the adapter defiition we have to specify the database.  If I want to communicate to several different databases on different platforms, Oracle / SQL I need to install a DB adapter for each database connection. 
    I can't figure out how to add a second DB adapter, does anyone know how?
    Thank you
    Daniel
    Safeway Inc.

    Hi
    We're new at developing our own agents etc. We've leveraged the supplied DB agent and written the relevant adapter, however we're struggling with the transformation.
    Could I be cheeky and ask if anyone would be willing to share a transformation they have written for the DB adapter? Unfortunately no-one in our team has had past XML experience, so we're trying to backwards engineer from examples.
    Thanks, Meghan

  • Question about sony NFC tags

    Hi every body,
    I have a little question about the NT1 smart tags of sony.
    I installed other app from google play to NFC tags in my phone.
    I installed it, because the smart tag app of sony, don't have the action to turn on or turn off the GPS by NFC tag.
    When I used with other app, and I written on the blue smart tag, I mark to became the blue smart tag to read only.
    So, now I can't to write on him, and the phone is not recognazied the blue smart tag ( all other smarts tags is OK, because I didn't used them ).
    When I scan the blue smart tag, the phone show a message: "unknown type tag".
    Am I can cancelled it and became it back? that's mean that the phone is recognaized it and I can to write on it?
    Or, is it one way, and I can't used with the blue smart tag again?
    Thank you.

    you can do a factory reset or repair your phone with SUS
    Update Service (SUS)
    http://www.sonymobile.com/gb/tools/update-service/
    http://www-support-downloads.sonymobile.com/Software%20Downloads/Update_Service_Setup-2.11.12.5.exe
    Alternatives on How to backup Xperias
    http://talk.sonymobile.com/thread/36355
    Don't forget to mark the Correct Answers & Helpful Answers
    "I'd rather be hated for who I am, than loved for who I am not." Kurt Cobain (1967-1994)

  • Question about LRU in a replicated cache

    Hi Tangosol,
    I have a question about how the LRU eviction policy works in a replicated cache that uses a local cache for its backing map. My cache config looks like this:
    <replicated-scheme>
    <scheme-name>local-repl-scheme</scheme-name>
    <backing-map-scheme>
    <local-scheme>
    <scheme-ref>base-local-scheme</scheme-ref>
    </local-scheme>
    </backing-map-scheme>
    </replicated-scheme>
    <local-scheme>
    <scheme-name>base-local-scheme</scheme-name>
    <eviction-policy>LRU</eviction-policy>
    <high-units>50</high-units>
    <low-units>20</low-units>
    <expiry-delay/>
    <flush-delay/>
    </local-scheme>
    My test code does the following:
    1. Inserts 50 entries into the cache
    2. Checks to see that the cache size is 50
    3. Inserts 1 additional entry (as I understand it, this should cause the eviction logic to kick-in)
    4. Checks the cache size again, expecting it to now be 20
    With HYBRID and LFU eviction policies, the above logic works exactly as expected. When I switch to LRU however, the code at step 2 always returns a value significantly less than 50. All 50 inserts appear to complete successfully, so I can only assume that some of the entries have already been evicted by the time I get to step 2.
    Any thoughts?
    Thanks.
    Pete L.
    Addendum:
    As usual, in attempting to boil this issue down to its essential elements, I left out some details that turned out to be important. The logic that causes the condition to occur looks more like:
    1. Loop 2 times:
    2. Create named cache instance "TestReplCache"
    3. Insert 50 cache entries
    4. Verify that cache size == 50
    5. Insert 1 additional entry
    6. Verify that cache size == 20
    7. call cache.release()
    8. End Loop
    With this logic, the problem occurs on the second pass of the loop. Step 4 reports a cache size of < 50. This happens with LRU, LFU, and HYBRID-- so my initial characterization of this problem is incorrect. The salient details appear to be that I am using the same cache name each pass of the loop and that I am calling release() at the end of the loop. (If I call destroy() instead, all works as expected.)
    So... my revised question(s) would be: is this behavior expected? Is calling destroy() my only recourse?
    Message was edited by: planeski

    Robert,
    Attached are my sample code and cache config files. The code is a bit contrived-- it's extracted from a JUnit test case. Typically, we wouldn't re-use the same cache name in this way. What caught my eye however, was the fact that this same test case does not exhibit this behavior when running against a local cache directly (as opposed to a repl cache backed by a local cache.)
    Why call release? Well, again, when running this same test case against a local cache, you have to call release or it won't work. I figured the same applied to a repl cache backed by a local cache.
    Now that I understand this is more a byproduct of how my unit tests are written and not an issue with LRU eviction (as I originally thought), it's not a big deal-- more of a curiosity than a problem.
    Pete L.<br><br> <b> Attachment: </b><br>coherence-cache-config.xml <br> (*To use this attachment you will need to rename 545.bin to coherence-cache-config.xml after the download is complete.)<br><br> <b> Attachment: </b><br>LruTest.java <br> (*To use this attachment you will need to rename 546.bin to LruTest.java after the download is complete.)

  • Misc questions about DFS

    Hi, I'm a newbie in DFS and I have several questions about DFS that I hope somebody could answer me:
    1. Introduction/theory/basic stuff:
        I've found this webpage "How DFS Works" at https://technet.microsoft.com/en-us/library/cc782417%28v=ws.10%29.aspx. But looking at its date,
    I'm pretty sure it was written for Windows Server 2003.
       Is there any updated webpage for Windows Server 2008 or later?
    2. My computers are in a domain/AD 2008 R2.  I'm pretty sure one of the servers is DFS root server.  Are we allowed to have more than one DFS root servers in a domain?
    3. Is there a way (GUI or command line) to list out all DFS root servers?
    4. I'm also reading a white paper on "Microsoft File Server Migration Toolkit" that can be downloaded at http://www.microsoft.com/en-us/download/details.aspx?id=17959. 
    In page 16 & 17 of that document, it's written that "DFS consolidation roots" can only be hosted in DFS root server running on one of the followings:
       Windows Server 2003 Enterprise Edition SP2
       Windows Server 2003 Datacenter Edition SP2
       Windows Storage Server 2003 Enterprise Edition
       Windows Server 2008 Datacenter Edition
       Windows Storage Server 2008 Enterprise Edition
       Like most people now in year 2015, I'm not going to use version 2003.  That leaves me two choices.  But I actually have a server "Windows Storage Server 2008 R2
    Standard Edition" sold by Dell.  Do you think it could work like "Windows Storage Server 2008
    Enterprise Edition"?  Otherwise, the only option for me would be to make a "Windows Server 2008 Datacenter Edition"?
    Thanks in advance

    Hi,
    1.Is there any updated webpage for Windows Server 2008 or later?
    https://technet.microsoft.com/en-us/library/cc732863%28v=ws.10%29.aspx?f=255&MSPPError=-2147217396
    2. My computers are in a domain/AD 2008 R2.  I'm pretty sure one of the servers is DFS root server.  Are we allowed to have more than
    one DFS root servers in a domain?
    You could have more than one DFS root server in a domain.
    3. Is there a way (GUI or command line) to list out all DFS root servers?
    We could use the Dfsutil.exe tool to manage the DFS.
    http://blogs.technet.com/b/filecab/archive/2008/06/26/dfs-management-command-line-tools-dfsutil-overview.aspx
    4. Consolidation root just supports run on Windows server 2008 R2 but doesnt support Windows server 2008 R2 as a DFS root.
    Regards.
    Please remember to mark the replies as answers if they help and unmark them if they provide no help. If you have feedback for TechNet Support, contact [email protected]

  • Basic questions about JRE installation

    I am using NSIS to make a installer, which insalls the JRE 1.5, JMF, Javamp3, then our own software packages.....
    Firstly I have some basic question about the general installatio/deinstallation.
    1 Why sometimes is it required to reboot computer for the installed software to take effect, e.g.
    JRE 1.5. If not, what doest NOT take effect? (Actually in many cases, it seems to work even if not reboot the computer).
    Regarding the JRE 1.5,
    I am wondering:
    1 where is the registry entry written in windows?
    2 how to make the JRE installation process silent?
    Thanks !

    Just click on this link http://mindprod.com/jgloss/registry.html.

  • Question about ports

    Hi,
    I have a clarification question about ports and Java. Assuming all firewalls are disabled on a PC, a Java application can connect to any port via a socket to communicate with other PCs, as long as this port is not used by another application.
    Is the following correct:
    a) Two applications (Java or no) cannot connect to the same port together, ever.
    b) Two Java applications sending and receiving datagram over a given multicast address using the same port can never communicate with each other when ran on the same PC because of a).
    Thanks,
    J.

    Jrm wrote:
    Hi,
    I have a clarification question about ports and Java. Assuming all firewalls are disabled on a PC, a Java application can connect to any port via a socket to communicate with other PCs, as long as this port is not used by another application.You mean bind to a port to act as a server? Yes. As long as that port is not already bound for listening.
    If you mean a client application can connect to any port where a server is listening, then it doesn't matter if another client is talking to the server on that port, as long as the server is written to handle concurrent connections.
    >
    Is the following correct:
    a) Two applications (Java or no) cannot connect to the same port together, ever. No, see above. Unless by "connect" you mean "bind". (Even that may be incorrect terminology.)
    b) Two Java applications sending and receiving datagram over a given multicast address using the same port can never communicate with each other when ran on the same PC because of a).Not sure exactly what you're saying here.
    Really, though, why not just write some code and try it?

  • I have three questions about managing my music library

    Hello,
    I have three questions about managing my music library, I hope you can help me with them:
    1) Is there a limit of how many entries, songs, albums, art work, can iTunes handle? I have a hunch iTunes is like a database program and am curious about its capacity. I have two 2-TB drives and am wondering what is going to happen when I fill these two drives up. Other than disk space, what are iTunes limitations?
    2) Talking about these two drives. How can I use both as a source for my iTunes library. Can I have two folders selected as the source of my library? I am not sure if I have enough disk space to hold all my music, but I do also have a 1TB almost empty drive is need be.
    3) OK now comes te real question. I am sure that I have duplicates in my library and I sure would love to clean my library up.Possiby if I do get to clean it up, I can save some disk space and that is always a good thing. Any good techniques, software, techniques to follow while ripping music to help keep my library organized. Please be as detailed as you can.
    Thanks and I can't wait to hear from you.
    Waseem

    Wassimn wrote:
    Hello,
    I have three questions about managing my music library, I hope you can help me with them:
    1) Is there a limit of how many entries, songs, albums, art work, can iTunes handle? I have a hunch iTunes is like a database program and am curious about its capacity. I have two 2-TB drives and am wondering what is going to happen when I fill these two drives up. Other than disk space, what are iTunes limitations?
    As far as I know you're going to run out of disc space before you hit any limits. Each object in iTunes has a 64-bit key to access it. That said as your library grows it will get less responsive as bigger indexes take exponentially longer to process.
    2) Talking about these two drives. How can I use both as a source for my iTunes library. Can I have two folders selected as the source of my library? I am not sure if I have enough disk space to hold all my music, but I do also have a 1TB almost empty drive is need be.
    iTunes wants to manage everything inside one big folder. Some idiosyncrasies with the way it manages things if you have to move to a new drive means it is best if you can stick to that plan. If your library grows larger then you'll have to take manual control of where some or all of your content is stored. I use a variation of a script called ConsolidateByMoving which you could adapt for your needs.
    3) OK now comes te real question. I am sure that I have duplicates in my library and I sure would love to clean my library up.Possiby if I do get to clean it up, I can save some disk space and that is always a good thing. Any good techniques, software, techniques to follow while ripping music to help keep my library organized. Please be as detailed as you can.
    When it comes to deduping I've written another script for that called DeDuper, see this thread for background.
    And for some general tips on getting organized in iTunes see Grouping tracks into albums.
    tt2

  • Questions about ActiveX Bridge and SWT

    Hi !
    I found few weeks ago the www.reallyusefulcomputing.com site answering my question about Java & Macro of MSWord. Now I've found two steps that I am not able to overcome.
    First, when I build a simple application and I have internal libraries to use, am I compelled to place the jars in the lib/ext directory of the Java Runtime Directory? I have many difficulties in placing a convenient class-path in the manifest file. Why does the application ignore the manifest string?
    The second problem (and the biggest one) is that I am trying to build an SWT java-bean-application but I have problems during runtime. Is it possible to use this technology for my software or am I compelled to use AWT and SWING?
    I really hope you can help me.
    Thank you in advance.

    hi,
    I have to catch events from excel sheet in my java code..events like some change in value or a click of some user defined button etc.I have written th follwoing code but it does not gives me any event.It simply opens the specified file in the excel in a seperate window.but when i click on the sheet or change some value no event is captured by my code....can ne one pls tell me how to go about it....
    public class EventTry2 {
         private Shell shell;
         private static OleAutomation automation;
         static OleControlSite controlSite;
         protected static final int Activate = 0x00010130;
         protected static final int BeforeDoubleClick = 0x00010601;
         protected static final int BeforRightClick = 0x000105fe;
         protected static final int Calculate = 0x00010117;
         protected static final int Change = 0x00010609;
         protected static final int Deactivate = 0x000105fa;
         protected static final int FollowHyperlink = 0x000105be;
         protected static final int SelectionChange = 0x00010607;
         public void makeVisible()
              Variant[] arguments = new Variant[1];
              arguments[0]=new Variant("true");
              //Visible---true
              automation.setProperty(558,arguments);
              //EnableEvent--true
              boolean b =automation.setProperty(1212,arguments);
            System.out.println(b);
             public Shell open(Display display){
             this.shell=new Shell(display);
              this.shell.setLayout(new FillLayout());
              Menu bar = new Menu(this.shell,SWT.BAR);
              this.shell.setMenuBar(bar);
              OleFrame frame = new OleFrame(shell,SWT.NONE);
            File file= new File("C:\\Book1.xls");
              try{
              controlSite =  new OleControlSite(frame, SWT.NONE, "Excel.Application");
              this.shell.layout();
              boolean a2=true;
              a2=(controlSite.doVerb(OLE.OLEIVERB_SHOW|OLE.OLEIVERB_OPEN|OLE.OLEIVERB_UIACTIVATE|OLE.OLEIVERB_HIDE|OLE.OLEIVERB_PROPERTIES|OLE.OLEIVERB_INPLACEACTIVATE)== OLE.S_OK);
              System.out.println("Activated::\t"+a2);
            }catch(SWTException ex)
                 System.out.println(ex.getMessage());
                 return null;
              automation = new OleAutomation(controlSite);
              //make the application visible
              makeVisible();
              System.out.println("Going to create Event listener");
              OleListener eventListener=new OleListener(){
                   public void handleEvent(OleEvent event){
                        System.out.println("EVENT TYPE==\t"+event.type);
                   switch(event.type){
                   case Activate:{
                        System.out.println("Activate Event");
                   case BeforeDoubleClick:{
                        System.out.println("BeforeDoubleClick Event");
                   case BeforRightClick:{
                        System.out.println("BeforeRightClick Event");
                   case Calculate:{
                        System.out.println("Calculate Event");
                   case Change:{
                        System.out.println("Change Event");
                   case Deactivate:{
                        System.out.println("DeActivate Event");
                   case FollowHyperlink:{
                        System.out.println("Activate Event");
                   case SelectionChange:{
                        System.out.println("Activate Event");
                        Variant[] arguments = event.arguments;
                        for(int i=0;i<arguments.length;i++)
                             System.out.println("@@");
                             arguments.dispose();
              System.out.println("outside");
              OleAutomation sheetAutomation=this.openFile("C:\\Book1.xls");
              controlSite.addEventListener(sheetAutomation,Activate,eventListener);
              controlSite.addEventListener(sheetAutomation,BeforeDoubleClick,eventListener);
              controlSite.addEventListener(sheetAutomation,BeforRightClick,eventListener);
              controlSite.addEventListener(sheetAutomation,Calculate,eventListener);
              controlSite.addEventListener(sheetAutomation,Change,eventListener);
              controlSite.addEventListener(sheetAutomation,Deactivate,eventListener);
              controlSite.addEventListener(sheetAutomation,FollowHyperlink,eventListener);
              controlSite.addEventListener(sheetAutomation,SelectionChange,eventListener);
              shell.open();
              return shell;
         public OleAutomation openFile(String fileName)
              Variant workbooks = automation.getProperty(0x0000023c);//get User Defined Workbooks
              Variant[] arguments = new Variant[1];
              arguments[0]= new Variant(fileName);
              System.out.println("workbooks::\t"+workbooks);
              IDispatch p1=workbooks.getDispatch();
              int[] rgdispid = workbooks.getAutomation().getIDsOfNames(new String[]{"Open"});
              int dispIdMember = rgdispid[0];
              Variant workbook = workbooks.getAutomation().invoke( dispIdMember, arguments );
              System.out.println("Opened the Work Book");
              try {
                   Thread.sleep(500);
              } catch (InterruptedException e) {
                   // TODO Auto-generated catch block
                   e.printStackTrace();
              int id = workbook.getAutomation().getIDsOfNames(new String[]{"ActiveSheet"})[0];
              System.out.println(id);
              Variant sheet = workbook.getAutomation().getProperty( id );
              OleAutomation sheetAutomation=sheet.getAutomation();
              return(sheetAutomation);
         * @param args
         public static void main(String[] args) {
              // TODO Auto-generated method stub
              Display display=new Display();
              Shell shell=(new EventTry2()).open(display);
              while(!shell.isDisposed()){
                   if(!display.readAndDispatch()){
                        display.sleep();
              controlSite.dispose();
              display.dispose();
              System.out.println("-----------------THE END-----------------------------");

  • Question about openning files using SDK 10.

    I have written a Plug-In for SDK 9 that works wonderfully. One of the functions is for the user to be able to page (Next/Previous) between PDF files on our server. This functionality now longer works without crashing Acrobat 10. All I am doing is creating the URL path to the next/previous PDF, creating a CustomFileSys with the path and calling AVDocOpenFromFile.
    Does this no longer work with Acrobat 10 SDK?
    Thanks!

    Should work fine, AFAIK.
    For this level of issue, I would open a formal support request with developer support.
    From: Adobe Forums <[email protected]<mailto:[email protected]>>
    Reply-To: "[email protected]<mailto:[email protected]>" <[email protected]<mailto:[email protected]>>
    Date: Mon, 10 Oct 2011 08:51:08 -0700
    To: Leonard Rosenthol <[email protected]<mailto:[email protected]>>
    Subject: Question about openning files using SDK 10.
    Question about openning files using SDK 10.
    created by Greggars<http://forums.adobe.com/people/Greggars> in Acrobat SDK - View the full discussion<http://forums.adobe.com/message/3963065#3963065

  • Some question about Toshiab reocvery disk for Satellite P300-133

    Hello guys ,
    I got some questions about unpleasant recovery surprises :
    *1)* I just made recovery DVD using Toshiba Recovery Disk Creator and it initially asked for 2 DVDs - but when the first one was done it didn`t require a second blanc DVD . Is it possible all system files of Windows Vista to be written on 1 DVD ?
    *2)* Could I reinstall Windows Vista using the HDD Recovery option ( by pressing F8 ) without using recovery DVD ?
    *3)* Which HDD partitions`ll be formatted ( just system C: or both C: and E: ) using HDD recovery ?
    *4)* What is recovery DVD - Image of the hard drives or Vista installation files ?
    Its pretty bad idea not to include OS on DVD when I have paid for it.
    Thanks for all the problems to Toshiba :(

    1. I think the recovery disk creator means 2 CDs. I have a Portege M700 with Windows Vista and one DVD too. I recovered it and after this I have a clean Vista installation with all drivers and tools from Toshiba. Nothing is missing.
    2. Yes, you can reinstall Vista using the HDD Recovery option when you press F8 at startup. You dont need the recovery DVD but you should create one when your HDD get some errors or something else. If you need a new Recovery DVD, you have pay for one.
    3. The complete HDD and partitions will be formatted, so backup your data first on a DVD, external HDD, USB stick or something else.
    After the reinstallation you have the factory settings.
    4. The recovery DVD is an image of the HDD about the factory settings. If you make a recovery, there are all drivers and tools already installed. Of course on the disk are the installations files of Vista, but you can only use this DVD on your Satellite P300. The disk can not be used on an other Satellite or on a PC.
    When you have more questions, have a look in the user manual. There are a many in formations about the recovery. Its in chapter 3.

  • Is Dreamweaver right for me? (also Question about Adobe courses)

    Hi!
    I'm a grade five student,
    who is wanting to learn about
    web design and animations.
    I already have Photoshop cs5
    but i want to learn about web design.
    Dreamweaver is the correct program right?
    I also have a question about Adobe Courses (Online)
    Will they go through, and show you how to set up websites
    with dreamweaver... Templates, etc. stuff like that?
    All comments appreciates.
    Thanks
    CG

    I am hardy the most qualified to answer this, but I'll have a go at it. First of all, I admire your ambition!
    Dreamweaver is a great tool, but it will not necessarily teach you web design. Once you have some basic idea of what goes into a web design, you will realy appreciate what DreamWeaver can do for you. I am going to pass on to you the same advice given to me when I first wanted to get into DreamWeaver and web design.
    I would realy suggest some basic HTML and CSS books and use a text editor to create your first pages. Once you start to undersatnd what you are doing, then by all means, get some DreamWeaver training. Everyone has their own favorite titles to learn the basics from, so I'll just say these are a suggestion and helped me get started. The Head First series was clearly written, has a good sense of humor and helped me get the basics. Check your Libraries, second hand book stores, or other resale outlets. I know you mentioned the Adobe Online, but I've also used, and like, the Adobe Classroom in a Book series. Once I learned a particular version, I found the Missing Manual series good for advanced questions and going to a new version.
    Don't get discouraged, stay with it and don't be afarid to try another book if you don't like the ones I've suggested. We all learn different ways and what worked for me may not work for you!

Maybe you are looking for

  • Unable to sync my MacBook with iPhone5 and iPad2

    Up until a while ago, I could plug my ipad and iphone into my MacBook to sync Calendars, Contacts, Notes etc.  This no longer works - I think because Mavericks stopped doing this? So I am left with iCloud.  All my devices are connected to the same wi

  • How do I upload docs off my iPad onto the internet

    I am trying to apply for a job and I need to upload my resume on their website but when I click the browse button it only allows me to upload a picture. Please help

  • Issue with accessing members by dates

    Hi, i have one issue that Based on the start and end dates ,the qty and cost for a specific product line should be accessed. Here is the description... START DATE END DATE QTY COST RM1 1/9/2009 30/9/09 0.1 2 RM2 1/10/09 31/10/09 0.2 1.5 i need to acc

  • Safari gets stuck often, won't load pages and ...

    I have discovered when I look at the force quit menu that the following is "not responding." What would cause this and how can I fix it? BTW, my Flash is up to date.

  • Cfform/cfgrid form submit error

    I have a simple grid: <cfgrid name="testGrid" format="flash" query="getHistory"> <cfgridcolumn name="idno" header="Employee ID"> </cfgrid> The grid is populated just fine. When I submit the form instead of seeing the form variables I am getting the f