Calculation in mapping

Hi,
I want to perform a simple transfomation;
Source application has a field AMOUNT, value in euro's
We need to transform this value to the destinition application in cents
How can we perform AMOUNT-destination=AMOUNT-source*100

Hi ,
Thanks for this tip, I didn't know about the examples of the custom transformations.
It works, but raises new questions to me:
How do they work?
I opened the tdf-files and for example the multiplier is written as:
name=Multiply
description=Multiplies two in fields or an in field and a constant
package=
class=
number types=1
param0 name=constant
param0 option=+
Where in this file the multiply action is stated? How does interconnect know what to do? I expected that the package and class field had to be filled before a custom transformation could work. and that the package and class are java written functions/applications.
Maybe Multiply is a reserved word which is a standard function within interconnect that can be used in standard transformations.
What are the functions that are available to use in my own custom transformations, without coding in java???
Is there documentation on this?
thanks
Ton

Similar Messages

  • Toll calculator in Maps app

    Please tell me when toll calculations will be available in Apole Maps. I need this information when planning longer road trips, as I do not ordinarily carry cash, so I need to make special provisions to carry enough. It is not enough to have "avoid tolls" when plotting a trip, which is a major disadvantage of the app right now; enough of us are complaining about that inadequacy that I hope we stand some chance of having that rectified in the not-too-distant future. Somebody should be collating all the toll data published by all the various states and authorities -- what a coup for Apple Maps that would be.
    We potential users need to be able to make decisions on the fly about what route to use by taking traffic, time on the road, gas consumption, and tolls into account. I bought Apple iPhone 5 and iPads running OS 7.1.2 -- smart devices -- to help me make these everyday decisions more intelligently, then I run up against a roadblock in this dumb app.
    I do use the app now for local trips, and like the clear directions and reliability. But if I were outside my "stomping grounds" I would have no information about strategic alternatives for routes avoiding traffic congestion through various times of the day, finding gas stations (especially 24-hour stations along the interstates), and being prepared for tolls. 
    Can anyone tell me how often the traffic conditions on a planned route are updated, or whether it even happens after a set of directions is downloaded?
    Lots of topics here. Glad for any info I can get.

    SeattleBound wrote:
    Please tell me when toll calculations will be available in Apole Maps.
    How would we know?  We don't work for Apple. These are user to user forums.  So unless Apple announces or documents it, you know as much as the rest of us.

  • Error in mapping for floating Number calculation

    Hi All,
       I have a small doubt in floating number calculation in Mapping.
    Actually i am geting a floating point number and calculating the SUM and generating the output. The input is of 2 decimal places(Ex: 26.02  and 26.03 ), but when it is adding all the values it is generating a three digit decimal number (Ex: 52.050003)
    I dont know from where it is geting one extra number "2" in the output.
    Please find the code for the same and let me know if i need to do something else to get ride of this.
       //write your code here
    float sum=0;
    if(a != null && a.length > 0.00)
       for ( int j =0; j<a.length;j++)
        sum  =  sum + Float.parseFloat(a[j]);
       result.addValue(String.valueOf(sum));
    else
    result.addValue("0");
    Thanks in Advance,
    JAY

    Jay,
    Please use the below code and let us know, if it helps.
    BigDecimal sum= new BigDecimal("0");
    BigDecimal bd;
    if(a != null && a.length > 0.00)
    for ( int j =0; j<a.length;j++)
    bd=new BigDecimal(a[j]);
    sum=sum.add(bd);
    result.addValue(""+sum+"");
    else
    result.addValue("0");
    in import section - java.math.*;
    raj.
    Edited by: Raj on Feb 18, 2008 11:11 AM

  • Moving Average Price (MAP)

    Hi,
    We have a requirement to send new MAP (MBEW-VERPR)
    to a third party system each time change been happend to the
    material MAP in a plant.
    Please guide me. If it has morethan one solution let me know.
    Thnaks & Regards
    Prasad Raju

    exact. MR21 is a manual change, while the GR is a automatic change.
    You will not have any transaction that shows you all the MAP changes with date and time.
    you would have to develope your own ABAP or procedure to capture all changes.
    You ccould create a Z-table and copy stock and price every day. But this is still just a daily number, if the value changes more than one time during a day, you will not see it then.But you can certainly see when the price  startet to jump.
    I often use a mix of MR51 and Excel.
    Run MR51, add coloumn for entry date, sort it in sequence of entry date.
    download it to Excel.
    Add a first line with quantity and value from last periods record from table MBEW and calculate the price.
    Then you can start calculating the MAP for each and every line.

  • MAP Price

    Hi Experts,
    Scenario Is :
    I made the 3 PO to 3 Vendors having qty 1 with value 100 per unit.
    Vendor X  , Qty = 1 , Value = 100
    Vendor Y  , Qty = 1 , Value = 100
    Vendor Z  , Qty = 1 , Value = 100
    Now After GRN & LIV, the stock Qty = 3, Stock value = 300 , MAP = 100
    Now Issue 2 qty to Production = Value 200 hving MAP 100.
    As per our agreement, we will make payment to vendor as per the Market Price in that supplying month Market Price was = 150 per unit , so all 3 vendor give the 3 supplimentory invoice hving 50 Rupees.
    Now I want to make the payment of total 150 to 3 vendors.
    But my problem is that = if do the Subsequent debit of three Invoice with 50 each, the MAP is updating to 250 .
    But it should be 150 .    
    To do the set up, the difference of 100 & update the MAP to 150, it can be possible through MR21 / MR22.
    But, in my case, the no of line item & more than 100 materials & 15 - 20 vendors for one material, It is happened for every  month.
    Kindly suggest, how to resolve it..... in other transaction , or how MAP into SAP for such procurement .
    Looking forward solution for this.......
    Regards,
    Raghunath

    Hi Raghunath,
    As per your query, it seems that you have material XXXX with Price 100 as movieng avergae and its getting updated after each procurement.
    now u procured one material from 3 vendors i.e u need to pay 300 Rs to them as 100 Each. and as per you payement agreement you need to pay them as per the market price i.e. 150 Rs per.
    so i dont think so there might be any confusing in that simply do GR and IR with 150 Rs. you Material stock value will get changed to 450 with quantity 3 and price will also get changed as its V, if you made tick mark on OMSY for quantity and value update.
    and moving average wil get calculated as
    Calculation of MAP:
    IN MMR (Stock)
    Price - 50
    Qty - 2
    in PO
    Price - 51
    Qty - 4
    After GR for 4 qty price updation in MMR will happen
    (MMR (priceqty) value + MIGO (priceqty) total value)/total qty
    In our example:
    (502+514)/6 = 50.65
    Price will be updated to 50.65.
    this illusion is  copied from
    http://www.sap-img.com/materials/material-master-price-change-mr21.htm
    please try use this scenario in development and then proceed for Production server.
    Regards
    Ninad Kshirsagar

  • 16 qam mapping

    hi,,,the below code is for 16 qam mapping....i want to know that how the hex values calculated for mapping
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    -- entity declaration
    entity mapper is
    Port ( clk, rst : in std_logic; -- global clock
    --reset : in std_logic; -- asynchronous active high reset
    --valid_in : in std_logic; -- when high din is valid
    m_in : in std_logic_vector(3 downto 0); -- data in
    rl,ig : out std_logic_vector( 15 downto 0) -- real out
    --ig : out std_logic_vector(15 downto 0)-- imag out
    --valid_out : out std_logic -- when high real and imag is valid
    end mapper;
    -- architecture declaration
    architecture Behavioral of mapper is
    --signal count : std_logic;
    signal rl_s : std_logic_vector(15 downto 0);
    signal ig_s : std_logic_vector(15 downto 0);
    begin
    -- process to map 16 point constellation
    process(clk, rst)
    begin
    --if(rst = '1') then
    --rl_s <= (others => '0');
    --ig_s <= (others => '0');
    --count <= '0';
    --valid_out <= '0';
    if(clk'event and clk = '1') then
    --if(valid_in = '1') then
    --count <= '0';
    case m_in is
    when"0000" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"143d"; --x"0051";
    --valid_out <= '1';
    when"0001" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"3CB7"; --x"00F2";
    --valid_out <= '1';
    when"0010" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"EBC3"; --x"FFAE";
    --valid_out <= '1';
    when"0011" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"C349"; --x"FF0D";
    --valid_out <= '1';
    when"0100" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"143d"; --x"0051";
    --valid_out <= '1';
    when"0101" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"3CB7"; --x"00F2";
    --valid_out <= '1';
    when"0110" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"EBC3"; --x"FFAE";
    --valid_out <= '1';
    when"0111" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"C349"; --x"FFC3";
    --valid_out <= '1';
    when"1000" =>
    rl_s <= x"EBC3";
    ig_s <= x"143d"; --x"0051";
    --valid_out <= '1';
    when"1001" =>
    rl_s <= x"EBC3"; --x"FFAE";
    ig_s <= x"3CB7"; --x"00F2";
    --valid_out <= '1';
    when"1010" =>
    rl_s <= x"EBC3"; --x"FFAE";
    ig_s <= x"EBC3"; --x"FFAE";
    --valid_out <= '1';
    when"1011" =>
    rl_s <= x"EBC3"; --x"FFAE";
    ig_s <= x"C349"; --x"FF0E";
    --valid_out <= '1';
    when"1100" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"143d"; --x"0051";
    --valid_out <= '1';
    when"1101" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"3CB7"; --x"00F2";
    --valid_out <= '1';
    when"1110" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"EBC3"; --x"FFAE";
    --valid_out <= '1';
    when"1111" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"C349"; --x"FF0E";
    --valid_out <= '1';
    when others => null;
    end case;
    else
    rl_s <= (others => '0');
    ig_s <= (others => '0');
    --valid_out <= valid_in;
    end if;
    --end if;
    end process;
    rl <= rl_s;
    ig <= ig_s;
    end Behavioral;
    thanx in advance

    how the real and imaginary(rl,ig) values are calculated?
    the code is
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    -- entity declaration
    entity mapper is
    Port ( clk
    , rst : in std_logic; -- global clock
    --reset : in std_logic; -- asynchronous active high reset
    --valid_in : in std_logic; -- when high din is valid
    m_in : in std_logic_vector(3 downto 0); -- data in
    rl,ig : out std_logic_vector( 15 downto 0) -- real out
    --ig : out std_logic_vector(15 downto 0)-- imag out
    --valid_out : out std_logic -- when high real and imag is valid
    end mapper;
    -- architecture declaration
    architecture Behavioral of mapper is
    signal rl_s : std_logic_vector(15 downto 0);
    signal ig_s : std_logic_vector(15 downto 0);
    begin
    -- process to map 16 point constellation
    process(clk, rst)
    begin
    if(rst = '1') then
    rl_s <= (others => '0');
    ig_s <= (others => '0');
    elsif(clk'event and clk = '1') then
    case m_in is
    when"0000" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"143d"; --x"0051";
    when"0001" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"3CB7"; --x"00F2";
    when"0010" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"EBC3"; --x"FFAE";
    when"0011" =>
    rl_s <= x"143d"; --x"0051";
    ig_s <= x"C349"; --x"FF0D";
    when"0100" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"143d"; --x"0051";
    when"0101" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"3CB7"; --x"00F2";
    when"0110" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"EBC3"; --x"FFAE";
    when"0111" =>
    rl_s <= x"3CB7"; --x"00F2";
    ig_s <= x"C349"; --x"FFC3";
    when"1000" =>
    rl_s <= x"EBC3";
    ig_s <= x"143d"; --x"0051";
    when"1001" =>
    rl_s <= x"EBC3"; --x"FFAE";
    ig_s <= x"3CB7"; --x"00F2";
    when"1010" =>
    rl_s <= x"EBC3"; --x"FFAE";
    ig_s <= x"EBC3"; --x"FFAE";
    when"1011" =>
    rl_s <= x"EBC3"; --x"FFAE";
    ig_s <= x"C349"; --x"FF0E";
    when"1100" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"143d"; --x"0051";
    when"1101" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"3CB7"; --x"00F2";
    when"1110" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"EBC3"; --x"FFAE";
    when"1111" =>
    rl_s <= x"C349"; --x"FF0E";
    ig_s <= x"C349"; --x"FF0E";
    when others => null;
    end case;
    end if;
    end process;
    rl <= rl_s;
    ig <= ig_s;
    end Behavioral;
    thanx in advance

  • CHANGE OVER FROM TAXINJ TO TAXINN

    HI ALL ,
    TAXINJ and TAXINN cannot co exist in the same system.
    If you are talking about technical Upgrade, then I don't think this would be feasible since old Txn would have got posted with TAXINJ procedure with rates as defined in Tax codes and now after migration to TAXINN in same box, the system would pick value from Condition records.
    Just check this basic point of feasibility before we go ahead and look for changes.
    In SuN the change is for existing implementation, here at present they have R/3 4.7, and  are now in the process of technical upgrade to ECC 6.00; TAXINN is required to be implemented in the upgraded version.
    At present they are using around 129 pricing procedures, 25 sales org,220 tax codes in TAXINJ. Suzlon has 21 company codes, 219 plants and 1300 end users the whole nature of group activities results in use of all possible types of indirect taxes in India like VAT, CST, Excise, Service Tax etc...
    i have tried to search on sap help, service market place and others but could not come across any document for the change over. However i will try again.
    what are the things i need to take care before any implications busisneww point of view, what will be the status of  open sales order and purchase orders, and  splitting delivery docs. which are under process, what will be the cutoverstrategy.
    need ur help and guidance, thanks in advance.
    We are migrating from 4.7 to ECC 6.00 ( technical upgrade only), at the same time we will shift from TAXINJ to TAXINN,please let us know the steps requred to do this activity.
    RELEASE NOTES OR OSSNOTES, ANY DOCUMENT STEP BY STEP IS REQUIRED asap.
    CHEERS
    SRI_CNU

    Hi
    Vasu Sri,
    I got this document from some body might help u a bit.
    Condition-Based Excise Determination in MM (New)
    As of SAP R/3 Enterprise Core 4.70 (SAP_APPL 470), the system can calculate excise duties
    and sales tax in Materials Management (MM) using the standard condition technique.
    SAP has enhanced the existing tax procedure, TAXINJ, so that it now supports formula-based
    and condition-based excise determination. The R/3 System also comes with a new tax procedure,
    TAXINN, which only handles condition-based excise determination.
    Which Tax Procedure Must I Use?
    Existing customers must continue to work using the same tax procedure.
    If you switch to a new tax procedure, you cannot display any documents that you have already
    posted using the old tax procedure.
    If you have worked with formula-based excise determination in previous releases and wish to
    continue, you do not have to do anything. However, if you wish to start using the
    condition-based excise determination method, proceed as specified below.
    We recommend that new customers use the condition-based excise determination and tax
    procedure TAXINN.
    <b>How Do the New Functions Work?</b>
    First, customize the system in the activities listed below. Then, for each material, create one
    condition record for each form of excise duty and sales tax that applies, and enter the tax code
    for purchasing documents (see below) in every condition record.
    When you come to create a purchase order, enter the tax code in each line item. The tax code
    tells the system whether to look in the condition types for formula-based or condition-based
    excise determination
    To set up the new excise determination method, carry out the following activities:
    IMG activity
    What to do
    Check Calculation Procedure
    Existing customers: Adjust your tax procedure to
    match the changes to TAXINJ. Steps 560-583 are new, as are 593-598.
    New customers: Create a copy of TAXINN.
    Select Tax Calculation Procedure
    New customers only: Assign the copy of
    TAXINN to India.
    Maintain Excise Defaults
    New customers only: Enter the condition type
    that you use for countervailing duty.
    Define Tax Code for Purchasing Documents
    Define a tax code.
    Assign Tax Code to Company Codes
    Assign the tax code to the company codes that it
    is relevant for.
    Classify Condition Types
    Specify which condition types you want to use
    for condition-based excise determination.
    Define Tax Accounts
    Check which G/L accounts the various taxes will
    be posted to. Define G/L accounts for the account keys used in the tax procedure
    6 MM
    Materialwirtschaft
    16.1 Country Version India in Standard R/3 System
    Verwendung
    As of SAP R/3 Enterprise Core 4.70 (SAP_APPL 470), Country Version India is no longer
    delivered as an add-on but as part of the standard R/3 System.
    Integration of functions in the SAP Easy Access menu
    The functions for withholding tax have been integrated into the SAP Easy Access menu, under
    Accounting -> Financial Accounting -> Accounts Payable -> Withholding Tax ->
    India and Accounting -> Financial Accounting -> Accounts Receivable -> Withholding
    Tax -> India.
    You can access all other functions using the area menu J1ILN, which you can call from the
    SAP Easy Access screen using the transaction code J1ILN.
    Country Version India Implementation Guide
    The Country Version India Implementation Guide (IMG) has been integrated into the standard
    Reference IMG (see Changes to Structures for Country Version India).
    Release Notes
    You can access release notes from previous add-on releases using the links below.
    SAP Library Documentation
    The SAP Library documentation for Country Version India is also delivered on the standard
    SAP Library documentation CD (see below).
    New and Changed Functions
    For information about new and changed functions for Country Version India, see the other
    release notes for this release.
    Auswirkungen auf den Datenbestand
    You do not need to change any data.
    Auswirkungen auf das Customizing
    IMG activity
    What to do
    Activate Country Version India for Specific Fiscal Years
    Delete the entry ZIND and
    create a new entry for IND.
    Siehe auch
    SAP Library -> Financials or Logistics -> Country Versions -> Asia-Pacific -> India.
    Release Notes from Country Version India Add-On (FI)
    Release Notes from Country Version India Add-On (SD)
    SAP AG
    1
    SAP-System
    Page 9
    Release Notes from Country Version India Add-On (MM)
    16.2 Condition-Based Tax Calculation (New)
    Verwendung
    As of SAP R/3 Enterprise Core 4.70 (SAP_APPL 470), a new method for calculating taxes
    in Brazil is available, which makes use of the standard condition technique. Tax rates, tax laws,
    and special indicators that influence whether tax line items are included in the nota fiscal are all
    stored in the system as condition records. An additional tax calculation procedure, TAXBRC, is
    delivered for this new method, in addition to the existing one for Brazil, TAXBRJ.
    Auswirkungen auf den Datenbestand
    You can continue to calculate taxes using the former method: when the system processes the tax
    procedure assigned to the country (TAXBRJ), it calculates the taxes externally by calling
    function module J_1BCALCULATE_TAXES. We do, however, recommend that you assign the
    new procedure TAXBRC and use the condition-based tax calculation functions, as it enables you
    to flexibly adapt the tax calculation logic to cover new legal requirements or special customer
    needs.
    You will need to migrate your existing tax rate table entries to condition records, which you
    can do directly from the Tax Manager's Workplace described below. You can check all tables
    and subsequently convert the entries, whereby the system generates condition records. After the
    initial migration, each time you create or change a tax rate table entry, the system automatically
    generates a condition record as needed.
    Auswirkungen auf das Customizing
    If you want to employ the new condition-based tax calculation, you need to activate it and
    carry out all related Customizing activities, under Financial Accounting -> Financial
    Accounting Global Settings -> Tax on Sales/Purchases -> Basic Settings -> Brazil
    -> Condition-Based Tax Calculation, all of which are new:
    o
    Activate Condition-Based Tax Calculation
    o
    Map MM Tax Values to Nota Fiscal Fields
    o
    Map SD Tax Values to Nota Fiscal Fields
    o
    Map MM Tax Laws to Nota Fiscal Fields
    o
    Define Internal Codes for Tax Conditions
    o
    Assign Internal Codes for Tax Conditions to Condtion Types
    o
    Assign Tax Rate Tables to Condition Tables
    In addition, you need to assign the new tax calculation procedure TAXBRC to the country in
    Customizing, under Financial Accounting -> Financial Accounting Global Settings ->
    Tax on Sales/Purchases -> Basic Settings -> Assign Country to Calculation Procedure.
    A new Customizing tool called the Tax Manager's Workplace is available that enables you to
    SAP AG
    2
    SAP-System
    Page 10
    make all tax-related settings for Brazil. You access it under the same path as above through
    Tax on Sales/Purchases, then Calculation -> Settings for Tax Calculation in Brazil ->
    Access Tax Manager's Workplace, or alternatively by entering transaction J1BTAX. You can
    use the Tax Manager's Workplace regardless if you use condition-based tax calculation; it
    simply brings all tax activities to a single transaction (only the Migration, Nota-Fiscal Mapping,
    and Condition Mapping options under the Condition Setup pulldown menu are relevant only for
    condition-based tax calculation).
    16.3 Changes to Structures for Country Version India
    Verwendung
    As of SAP R/3 4.7, Country Version India is no longer delivered as an add-on, but forms part
    of the standard system.
    SAP has discontinued the Country Version India Implementation Guide (IMG) and has added its
    activities have been added to the standard Reference IMG as follows:
    Activities relating to withholding tax are now located in Customizing for Financial
    Accounting (FI), under Financial Accounting Global Settings -> Withholding Tax.
    Activities relating to excise duty and excise invoices are in Customizing for Logistics -
    General, under Tax on Goods Movements -> India.
    As far as the activities under Preparatory Activities are concerned, two of them (Activate
    Country Version India for Accounting Interface and Activate Processes) are no longer
    relevant and have been removed from the IMG entirely. The activity Execute Country
    Installation Program is already included in the standard IMG under the name Localize Sample
    Organizational Units. And the other two activities (Activate Country Version India for Specific
    Fiscal Years and Activate Business Transaction Events) have been added to the standard IMG.
    For information about other changes to the IMG relating to changes in the functions in Country
    Version India, see the other release notes.
    16.4 Release Notes from Country Version India Add-On (MM)
    Verwendung
    The Release Notes from Releases 3.0A and 4.0A of Country Version India for Materials
    Managment (MM) are listed below. For more Release Notes, see the alias globalization in
    SAPNet, and choose Media Center -> Country-Specific Documentation -> Country Version
    India - Release Notes.
    Release 3.0A
    o
    CENVAT Credit on Capital Goods After Budget 2000 (Changed)
    o
    Multiple Goods Receipts for Single Excise Invoices
    SAP AG
    3
    SAP-System
    Page 11
    o
    Enhancements to CVD Solution
    o
    Pricing Date Control in Excise
    o
    Order Price Unit in Excise
    o
    Alternate Assets MODVAT Capitalization
    o
    Enhancements for 57 F4
    o
    User Exits for Customer Validations
    Release 4.0A
    o
    Procurement Transactions for Excise Invoices
    o
    New Transactions Based on User Roles for Incominng Excise Invoices
    o
    Capture Excise Invoices with Reference to Multiple POs for the Same Vendor
    o
    Capture Excise Invoice and Post CENVAT in a Single Step
    o
    Open Schedule Quantity Defaulted in Excise Capture for Scheduling Agreement
    o
    Accounting Document Simulation for CENVAT Postings
    o
    Rejection Codes for Excise Invoices
    o
    Single-Screen Transaction for All Excise-Related Entries
    o
    Stock Transfer Orders Through MM Route
    o
    Excise Invoices for Multiple Import Purchases
    o
    Customs Invoices Can Be Captured Using Logistics Invoice Verification and Conventional
    Invoice Verification
    o
    Material Type at Line Item Level
    o
    Excise Invoice Capture Without PO
    o
    Excise Invoice Without PO - Capture and Post in a Single Step
    o
    Recalculation of Duty and Excise Defaults Restore Feature Available
    o
    Split of Nondeductible Taxes During Excise Invoice Capture
    o
    Error or Warning Messages Displayed at the Time of Saving
    o
    Reversal of Excise Duty
    o
    MIGO Solution Available as a Note 0408158 (Featuring All Functionalities as in MB01)
    o
    Excise Invoice Defaults in Excise Popup at Goods Receipt
    o
    Split Accounting Lines for CENVAT Posting
    o
    Authorization for Incoming Excise Invoices Extended
    o
    Authorization Available for Part I Entry at GR
    o
    Authorization Available for Register Update Transaction
    o
    User Exit Available for Incoming Excise Invoice Transaction for Defaulting Values
    SAP AG
    4
    SAP-System
    Page 12
    o
    User Exit Available for Incoming Excise Invoice Transaction Before Database Update
    o
    User Exit Available for Register Update for Validations on Fetched Records Based on
    Selection Criteria
    o
    User Exit Available for Register Update of RGSUM Register
    o
    User Exit Available for Excise Invoice Create for Other Movements to Default the Excise
    Details
    o
    Register Update Separately Handled for Receipts and Issues Based on Classification Code
    o
    Ship-From Vendor Can Be Defaulted and Captured in Incoming Excise Invoices for Other
    Movements
    o
    Removal Time Can Be Captured in Excise Invoices for Other Movements
    o
    Field Selection of Incoming Excise Invoices
    o
    Transaction Code Customizing for Incoming Excise Invoices
    o
    Excise Group Setting for Part I Indicator for Blocked Stock, Stock Transfer Order, and
    Consumption Stock
    o
    Multiple Goods Receipts and Multiple/Single Credit Settings Available at Excise Group
    Level
    o
    Rejection Code Master Setting for Posting on Hold Is Available
    o
    Stock Transport Orders

  • How to Fetch the Data from a Cube

    Dear All,
    We created a cube containing dimensions
    Customer, Product, Branch, Activity, Time dimensions
    using Oracle Analytical WorkSpace Manager.
    Once Cube is created,
    How can I see the Data existing in the Cube using normal SQL Queries ??? Through Analytical Workspace Manager Toll we r able to see the data. But our requirement is to see the data from the Cube using SQL Queries.
    Regards,
    S.Vamsi Krishna

    Hey I got the Solution. It follows in this way :
    A Cube is nothing but a Data Storage. Based on the Mapping we given it considers the data.
    To fetch the data from the Cube -> we have to write the SQl Query as below :
         SELECT dealer_name,model_name,sales
         FROM TABLE(OLAP_TABLE('MDB.FINAL_AW DURATION SESSION',
         'DIMENSION dealer_name AS varchar2(30) FROM FINALDEAL
         DIMENSION model_name AS varchar2(30) FROM FINALMODEL'));
    We can create View for the above statement o
    we can apply group by ,rollup, etc etc clauses
    and even we can write where clauses for the above select statement.
    But now my doubt is :
    can we apply any calculations while mapping the Level to an Dimension.
    Generally we will map Level toa dimension as DBUSER.TABLENAME.COLUMN NAME
    can we apply any calculation like :
    MIS.PROPKEY020MB.MATURITY_DATE+2
    Please help for the above.
    If any wrong is there please let me know
    Regards,
    S.Vamsi Krishna
    can we apply

  • Moving average Price for Material is Negative

    HI Friends,
    I am doing MIRO for PO where its giving me error while posting the Invoice that
    Moving average price for material is negative
    Can any please help me to resolve this.
    what would be the implications if the price difference is too high b/w MAP in Invoice .
    Thnaks
    Purna Nalluri

    It is ofcourse bcoz there is price difference in the between PO and IR....
    so as per the calculations the MAP becomes negative....
    The formula for it is :
    Difference/Current stock holding + current moving average...
    Pls check you price entered at PO and IR....
    There are no any implecations...excepts the price differece is reflected in the MAP of MMR....
    hope it helps...
    Regards
    Priyanka.P
    Edited by: Priyanka Paltanwale on Sep 23, 2008 7:28 AM

  • What is moving price.

    what is moving price.
    i heard moving price changes for each goods movement.does it mean it changes for gr or gi.
    if i set standard price as 10,while creation of po i suppose 10 will be defaulted and can i change it to 11.

    Hi,
       Moving Average Price will keep on change for every goods receipt. While you do goods issue, the material will take this moving average price as the material cost. The calculation of MAP is
      Moving Average Price = Total value of the stocks  /  Total number of stocks         (in storage location)
    The price difference during MAP will go to stock account if sufficient amount of stock is there in storage location or it will go to price difference account.
    Standard Price can be changed during purchase orders and the price difference will go to price difference account (PRD).
    Regards,
    Prasath

  • Price error in MIgo Document

    Hi all,
    While Posting MIGO the following error occurs....
    Transaction cannot be posted due to errors in price determination
    Regards,
    Ram

    Over flow is when u try to post a document and the values go beyoun the field values
    this can happen due to price change for material and system is calculating abnormal MAP
    so u can try 2 things
    try following OSS nots
    See OSS note 579241 and 139176 for solution.
    or
    maintain the correct price for this material
    using the TCode: MR21.Before check for the changes
    using MM04 and try to find what are the changes made to that material.
    Hope it helps you in solving your problem.
    Also try appling above notes given in my previos message
    Edited by: Umakant Bhangale on Dec 29, 2009 3:34 PM

  • Discount at header level

    Dear Friends
    1   In a Contract or PO, we give some discount (2%) at HEADER level and maybe some discount at the item level (5%). The effective discount will be 7% or 5%. 
    2   How the Freight cost and other miscellaneous costs are taken into consideration while calculating the MAP of a raw material (as seen in mm03, accounting 1 view).
    For example, we buy 10 pcs @ $10 and pay $50 for freight and $20 as miscellaneous cost. The moving average price of the stock will be $17 [(1005020)/10] or $ 10.
    Regards
    Sanjeev

    1 In a Contract or PO, we give some discount (2%) at HEADER level and maybe some discount at the item level (5%). The effective discount will be 7% or 5%.
    The effective cost will be 7% if your discount based on the quantity but if it absolute amount then that 2% will be propotionated to all the items
    2 How the Freight cost and other miscellaneous costs are taken into consideration while calculating the MAP of a raw material (as seen in mm03, accounting 1 view).
    For example, we buy 10 pcs @ $10 and pay $50 for freight and $20 as miscellaneous cost. The moving average price of the stock will be $17 (1005020)/10 or $ 10.
    Freight cost will not be a part of your MAP becuase it is posting to accrual account but the $20 misc cost can be if the condition is not defined as accrual condition then only.
    If you want to add the freight also a part of the mateiral then define the condition like surcharge without accrual.

  • Logical reporting cube - slow data retrieval

    OLAP 11.2
    I have seen discussion on this board recommending using a logical reporting cube to expose data if there are multiple physical cubes in the workspace.  The problem I am having is that the data retrieval seems very slow from the logical cube while it is fine if I select from the actual physical cubes. Here is my set up.
    Physical cube A - Dimensions x,y,z
    Physical Cube B - Dimension w,x
    Physical Cube C, Dimension y,z
    Logical Cube  D. Dimension w,x,y,z with calculated measures mapped to the measures from the cubes A,B and C as well as some other calculated measures based on these.
    Data retrieval from A, B and C is quick but the query hangs if I select certain measures from D. On some measures, it seems to work without issues.
    Is there some thing I am missing?
    Thanks,
    Usman

    Usman,
    Its probably because of LOOP_VAR  and/or LOOP_DENSE settings of the measures in your Logical reporting cube.
    I have posted on this topic in the past.   With this new Oracle-Forum interface its difficult to search old postings.
    (1). Execute the following:
    exec dbms_cube_log.enable(dbms_cube_log.TYPE_OPERATIONS, dbms_cube_log.TARGET_TABLE, dbms_cube_log.LEVEL_HIGH);
    (2). Run the olap query.
    (3). Query CUBE_OPERATIONS_LOG table
    select *
    from cube_operations_log
    where   upper(suboperation) like '%LOOP%' or upper(name) like '%LOOP%'
    order by time;
    Tell us what you see in the 'NAME  and  'VALUE'  columns, after your query execution.
    Also take a look at this post:   Delay when querying from CUBE_TABLE object, what is it?
    Nasar

  • Price control V

    hi all
    While creating the material master Price control i made it as V , if i give Standard price system is showing only warning msg,
    With price control V, enter a moving average price
    Message no. M3131
    How to make this msg as Error msg
    I searched for this msg in system messages but there it is not showing the msg

    this is a hardcoded warning message.
    a  moving average price is not really needed, because the first receipt updates the moving average price itself, an already existing price has no effect on the calculation of MAP for the first receipt.
    Nevertheless, if you want an error, then you have to code this yourself in enhancement MGA00001

  • Price in Reservation

    Hi all,
    There's a price field in the reservation table (RESB). This field is just filled when I enter Goods Issue with reference to reservation?
    When i enter goods issue with reference to reservation with 1 quantity the price is come from moving average price but when i enter 2 quantities the price is Total value/ Total stock in material master.  Why this happens? Problems of rounding?
    Thanks,
    CM

    Hi,
    Moving Average Price will keep on change for every goods receipt. While you do goods issue, the material will take this moving average price as the material cost. The calculation of MAP is
    Moving Average Price = Total value of the stocks / Total number of stocks (in storage location)
    The price difference during MAP will go to stock account if sufficient amount of stock is there in storage location or it will go to price difference account.
    Standard Price can be changed during purchase orders and the price difference will go to price difference account (PRD).
    Regards,
    Rahul.

Maybe you are looking for

  • REgarding view selection  in mm02 while using bdc

    hi, i m using function module 'MATERIAL_BTCI_SELECTION_NEW'' for selecting the single view automatically in bdc,its working fine..but for another scenario i have to select the multiple views so for this what sud i do i how cud i pass multiple views i

  • Minimum user rights to run WLS 8.1 as a Win2k service

    Anyone know what the "minimum" user rights are required to run WLS 8.1 as a service on Win2k? (no connections to remote server directories etc is required)

  • Photo access

    Receiving error -  App does not have access to photos & videos.   I have tried changing the settings but still no luck.  I am working on an iPad.  Please help. Love the app just need access to my photos.

  • Refreshing  the  list  output   upon clicking  a  push button

    Hi All,    How  do i  refresh the <b>list  output(not ALV)</b>  already  displayed  upon  clicking  a  push -button  under  AT  USER-COMMAND ,if  at   all   it  is  feasible ?     Any  pointers will be rewarded with points....... Regards jaman Messag

  • Average with StatBlockC​alc

    Hello everybody, I'm new here, so nice to meet you all! I have the first 2 columns of a table like this one: and like named in the table, I need a third column that calculates the avarage only for a certain time and not for the whole channel. So I tr