Error 42201 the input signal is empty

hi all
can you help fixing this error please ?
error 42201 the input signal is empty .( i am having this error while connecting the build array function to the detrend function . 
Bill David

Bill David,
I can see several possibilities for an empty array:
1. You initialize the shift register with an empty array, so if nothing ever gets added to the array it will remain empty.
2. Part of the image is cut off. If an empty string is passed (although you may be testing for this in the cut off portion), the array will be empty.
3. If a non-empty strnig is passed but its format or contents does not translate to a non-empty array of strings, the output of the for loop will be an empty array.
Some additional possiblities could produce non-empty arrays with all zeros, NaN, or Infinities, but those would generate different errors.
Try putting indicators on the data string, on the string array, and on the numeric array to see what you are getting.
Lynn

Similar Messages

  • Would like to know the meaning of the Input Signal Channel Indicators on Denon 2311CI

    The manual has no information on the meaning of these indicators on the display. For example, why is it that when I have a DVD or Apple TV as the source, the Input Signal Channel Indicators on the left side of the display show only LF and RF (left front/right front), while it shows LF, RF, C, SL and SR (left/right front, center, left/right surround) when I have my satellite box tuned to the major networks?

    When you connect a device that has only stero output (not multicahnnel sound), then you will only see the standard stereo menu,  Your apple products do not output multichannel sound, at least not in the manner you have them hooked yup to the reciever. 
    If you find my post useful or informative, please click the icon below with the plus sign and star to give kudos. Thank you!

  • Error in the input config file

    Continue message previous.
    I need deploy my application "notitia". Return message:
    Failed to deploy web application "notitiancm". Error in the input config file. Attribute Options is a single value attribute.

    Don't use EMwebsite. It's SLOW and buggy. I disabled mine.
    You can see a lot more error messages using dcmctl:
    bin/emctl stop
    dcm/bin/dcmctl shell
    deployapplication -a myapp -f myapp.ear -co home
    geterror
    You can also check dcm's and opmn's log files for errors.
    Your error message sounds familiar. If you have manually edited http.conf and added multiple entries of ProxyPass or SetEnvIf or who knows what else, you come across an iAS feature. This ias903 core for win2k file
    $ORACLE_HOME/dcm/config/plugins/apache/modDirectiveMS.xsd
    is no good.
    You have to change things like these to maxOccurs="unbounded":
    <element name="SetEnvIf" type="string" minOccurs="0"
    maxOccurs="unbounded"/>
    Then do a
    dcmctl updateconfig.
    You can find the problem description in Meta-link ohs forum. Apparently the problem will be fixed in 904 but I don't know why we all have to go thru this rite of passage in the mean time... A waste of time.
    Ken

  • I would like to tare (rezero) the input signal from a forceplate (glorified

    I would like to tare (rezero) the input signal from a forceplate (glorified scale) so that the base signal is set to zero, e.g.: a button on the front panel that resets the signal to zero prior to getting a measurement from a subject.
    We calibrate the force plate through labview and input our new equation into our scaling and mapping box which sets the signal to zero (or near zero) and the change in newtons (force reading) is accurate but when the application is closed and reopened the signal does not always start at zero (-400 to +1200 N), while the change in newtons is still accurate. I am NO engineer, I have Forest Gumped my way into getting some nice working VIs but I can't seem to find this function.
    Thank you very much for your time.

    Maybe that is the limitation of Acquire Input Data.vi.The vi can't identify the Unformal key.
    You can try to use Event structure and set to listen "key down" event.
    There are two terminals VKey, ScanCode will sent out the information when you press key.
    There are two pictures in attached file, 2.jpg will show you the detail.
    Good luck.
    Attachments:
    SpecialKey.zip ‏17 KB

  • Error in the input config file.....delploying an app on oc4j

    Hi,
    When I try to deploy an application on an oc4j instance of Oracle 9ias it gives me the following error.
    "An error occured while undeploying the application. Error in the input config file. Attribute Group is a single value attribute."
    I am deploying the application through the EM website.
    Any pointers to the solution?
    Thanks in advance
    Kapil

    Don't use EMwebsite. It's SLOW and buggy. I disabled mine.
    You can see a lot more error messages using dcmctl:
    bin/emctl stop
    dcm/bin/dcmctl shell
    deployapplication -a myapp -f myapp.ear -co home
    geterror
    You can also check dcm's and opmn's log files for errors.
    Your error message sounds familiar. If you have manually edited http.conf and added multiple entries of ProxyPass or SetEnvIf or who knows what else, you come across an iAS feature. This ias903 core for win2k file
    $ORACLE_HOME/dcm/config/plugins/apache/modDirectiveMS.xsd
    is no good.
    You have to change things like these to maxOccurs="unbounded":
    <element name="SetEnvIf" type="string" minOccurs="0"
    maxOccurs="unbounded"/>
    Then do a
    dcmctl updateconfig.
    You can find the problem description in Meta-link ohs forum. Apparently the problem will be fixed in 904 but I don't know why we all have to go thru this rite of passage in the mean time... A waste of time.
    Ken

  • What is the power spectrum unit for Unevenly Sampled Signal Spectrum.vi if the input signal unit is Volts?

    The Unevenly Sampled Signal Spectrum.vi has two outputs, Power Spectrum FFT(X) and Power Spectrum FFT(X) Frequency. Can anyone tell me what's the unit for Power Spectrum FFT(X) if the input signal unit is volts. Also, is this VI equivalent to producing a PSD output?

    Hi,
    The power spectrum will be in units of Vrms^2 which are power units. There is a very usefull VI that you can use to easily transform the units of your spectrum; the VI is called Spectrum Unit Conversion.vi. You select the type of spectrum that you have and the units that you want it to be converted to.
    Here is a good document on spectral measurements in genereal:
    frequency spectrum
    I hope this helps.
    Regards,
    Juan Carlos
    N.I.

  • Crazy problem with FSM in VHDL already synchronized the input signals

    background:
    To Let FPGA communicate with a DSP board. i am trying to send three datas from DSP board to the FPGA board. 
    code:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;
    entity dsp_com is port
         clock :   in std_logic;
    FPGA_CLK : out std_logic;
    led1: out std_logic_vector(3 downto 0) := (others => '1');
    Reset: in std_logic :='Z';
        dsp_db   : inout std_logic_vector(12 downto 0) := (others =>'Z');
    dsp_db_output : out std_logic_vector(12 downto 0);
    dsp_db_input : in std_logic_vector(12 downto 0);
    wr_en : out std_logic:='0';  -- initial state is input
    FPGA_ACK : out std_logic := '0';  --default state is 0
    DSP_ACK : in std_logic := 'Z'
    end dsp_com;
    architecture FSM_Moore of dsp_com is
    TYPE State_type IS (S0, S1, S8, S9, S10, S11);  -- 12 States
    signal PresentState: State_type;
    signal s_DSP_ACK : std_logic ;
    signal s_Reset : std_logic;
    signal s_dsp_db_input : std_logic_vector(12 downto 0);
    signal Adc_address : std_logic_vector(3 downto 0);
    signal Adc_buffer : std_logic_vector(12 downto 0);
    signal data_from_dsp1 : std_logic_vector(3 downto 0);
    signal data_from_dsp2 : std_logic_vector(3 downto 0);
    signal data_from_dsp3 : std_logic_vector(3 downto 0);
    begin
    --5k Hz signal work as FPGA_CLK
    clkdsp :  process(clock)
    variable count : integer range 0 to 2000 :=0;
    variable n :std_logic := '0';
    begin 
    if rising_edge(clock) then
    count := count + 1;
    if(count >= 2000) then
    n := not n;
    FPGA_CLK <= n ;
    count := 0;
    end if;
    end if;
    end process clkdsp;
    synchronous : process(clock)
    begin
    if rising_edge(clock)then
    s_DSP_ACK <= DSP_ACK;
    s_Reset <= Reset;
    s_dsp_db_input <= dsp_db_input;
    else
    null;
    end if;
     end process synchronous;
     --read the address and get the data
    --first:  process(clock,s_Reset)
    -- begin 
    -- if (s_Reset = '0') then
    -- PresentState <= S0;
    --elsif rising_edge(clock) then
    -- PresentState <= PresentState;
    -- end if;
    --   end process;
    first : process(s_Reset, clock)
    begin
    if (s_Reset = '0') then
     PresentState <= S0;
    elsif(rising_edge(clock)) then
    case PresentState is
    when S0 => if s_DSP_ACK = '1' then PresentState <= S1;
    else PresentState <= S0; end if;
    -- wr_en <= '0'; -- input
    -------------------------------single read ADC------------------------------------
    when S1 => 
    if ((s_DSP_ACK = '0') and (s_dsp_db_input(3 downto 0) = "0100")) then PresentState <= S8;
    else  PresentState <= S1;end if; 
    -- wr_en <= '0'; -- input
    --------------------------receive 3 datas in sequence ------------------------------------
    when S8 => if s_DSP_ACK = '1' then  PresentState <= S9;
    else PresentState <= S8 ; end if;
    --wr_en <= '0'; -- input
    when S9 => if s_DSP_ACK = '0' then  PresentState <= S10;
    else PresentState <= S9 ; end if;
    --wr_en <= '0'; -- input
    when S10 => if s_DSP_ACK = '1' then  PresentState <= S11;
    else PresentState <= S10; end if;
    --wr_en <= '0'; -- input
    when S11 => if s_DSP_ACK = '0' then PresentState <= S0;
    else PresentState <= S11; end if;
    -- wr_en <= '0';
      end case;
      end if;
    end process;
    -- Output depends solely on the current state
    second :   process(PresentState, data_from_dsp1, data_from_dsp2, data_from_dsp3, s_dsp_db_input(3 downto 0))
    --variable DATA &colon; std_logic_vector(12 downto 0);
    begin 
    case PresentState is
    when S0 => 
    FPGA_ACK <= '0';  
    led1 <= "0000";
    wr_en <= '0'; -- input
    -----------------get the adc-buffer--------------
    when S1 =>
    adc_address <= s_dsp_db_input(3 downto 0);  -- get the address
    FPGA_ACK <= '1';
          led1 <= "0001";
    wr_en <= '0'; -- input
    when S8 =>
    FPGA_ACK <= '0';
    led1 <= "1000";
    wr_en <= '0'; -- input
    when S9 =>
    FPGA_ACK <= '1';
    data_from_dsp1(3 downto 0) <= s_dsp_db_input(3 downto 0);
    if(data_from_dsp1 = "0101")then
    led1 <= "1001";
    else
    led1 <= "0000";
    end if;
    wr_en <= '0'; -- input
    when S10 =>
    FPGA_ACK <= '0';
    data_from_dsp2(3 downto 0) <= s_dsp_db_input(3 downto 0);
    if(data_from_dsp2 ="0111")then
    led1 <= "1010";
    else
    led1 <= "0000";
    end if;
    wr_en <= '0'; -- input
    when S11 =>
    FPGA_ACK <= '1';
    data_from_dsp3(3 downto 0) <= s_dsp_db_input(3 downto 0);
    if(data_from_dsp3 ="1000")then
    led1 <= "1011";
    else
    led1 <= "0000";
    end if;
    wr_en <= '0'; -- input
    end case;
    end process;
    end FSM_Moore ;
    i have already synchronize the input signals e.g. dsp_ack, and db_input to the FSM. this is the most frequency problem that cause FSM runs misbehavior
    Issue:
    Issues:
    when i debug the c program in DSP board and FPGA, every thing is ok ! FSM can switch to the next state according to the DSP_ACK. 
    but if i let the program run. i saw the state is alway stuck at S0 in FPGA, but for the DSP side, the code are still running.
    anyone has any ideas to this problem?
    appreciate for you guys' reply !
    regard,
    napon

    As a bit of a guess with the limited detail you provided on your overall control mechanism I would say you are missing  s_DSP_ACK = 1 when you allow the code to execute at full speed.
    What is the period of your FPGA clock vs the minimum  s_DSP_ACK = 1 length? I would just probe this up using CS.. Pretty simple to figure out where it is going wrong then.

  • How can I display error if the input is not a number?

    I thought I knew how, but I am not sure on what is what in the ASCII character list.
    I need check for errors if the user inputs anything except a positive integer. How could I do that? I had something like this:
    int number;     
    if ((number<0)||(number>80))
              System.out.println(Error please enter a new value
         }But that only filters out negatives, I have tried a few different ways but I cant get it. I dont know why I cant figure it out, its easy, but either way what would I put on the if () line?

    http://asciitable.com
    Wait so I would do
    if ((number<=48)||(number>=57)
          statements......
    }I thought I tried that and it didnt work, but I will try again. Since number is an int, will it still work? And do I need to do '48' with the ' around it?
    Thanks

  • Error in the Input scchduled.Object variable or with block varaible not set

    Hai Experts ,
    In the input schdueld , data is not accepting ..
    Its shows error : Object variable or with block varaible not set
    Please help..
    Regards
    Daya.........

    Hi SAP collegues,
    At my site, BPC Excel created this problem too "Object Variable or With Block Variable not set" .
    It turned out that this is symptom of a a dys-functioning BPC COM Plug-in in XL2007 or XL2010!
    This is a consequence that your Excel recently crashed while using BPC. And it relates to an Excel Add-in becoming disabled when the applications crashes.  Please check the following.
    Note before doing the following, close all other open Excel and BPC sessions.
    Within Excel go to File à Options
    Select the Add-Ins option on the left
    Select the <<COM Add-ins >> option in the Manage drop down, and click Go
    Make sure that the Planning and Consolidation option is selected.  If not, mark this box and click OK.
    If you do not see anything listed, return to the Add-in screen and select the Disabled Items option, and see if Planning and Consolidation is listed there.
    Let me know if you have any queries,
    Kind Regards,
    robert ten bosch

  • Report error with the input form using the VC BI integration wizard

    Hello All,
    I've created a report using the BI integration wizard in visual composer. I've configured the query with the 'Free Form' query template also I've defined the filters there. This is working fine and giving me the report output. When I deploy this , I could see
    a start point for the data service.
    But instead of the start point with the default values for the filters , I want to have an input form for the users to enter the field values. I added the input form in place of start point. But it's not working even though it doesn't give any error while deploying this report. It gives the following error when I run this report.
    " Data service error occurred,cannot execute OLAP queryCannot get data set: Cannot get data set".
    Could anybody help on this.
    Thanks
    Alok

    Hi Sandeep,
    I'm already draging it from the query input port. Are you referring a different way? How I could have the input variables in the query which I'm creating using the BI integration wizard.  To get this input variable I'm trying to add the input form.
    Am I doing anything wrong here ?
    Pls help.
    Thanks
    Alok

  • Issues with signal input adaptive filtering, it won't filter the added noise from the input waveform

    Hello,
    I have an issue with my adaptive noise cancellation program. Essentially I want to input a custom wav add noise to it and then filter the noise away in order to gain the custom wav again.
    While doing so I want to read the learning curve and read the adaptive coefficients. Unfortunately I have an issue when it comes to the filtering of the custom wav+noise. It won't filter the signal at all.
    It would be helpful if someone could have a look at it and possibly help me out.
    Thanks!
    Attachments:
    Testing.vi ‏59 KB

    Hey Jan,
    Thanks for the reply. I am currently using the Adaptive Filter Toolkit in order to obtain those VIs. The VIs which are in use are the LMS Adaptive Filter ones. 
    I figured there might be an error with the input of the array. This VI requires a DBL Array but it seems like it can not process it. 
    The "Get Wavefirn Components" works better now but I still have an issue with a time. I put a 9s wav file in but it only computes it in a very short time and I can not play the file while it is computing.
    I added the modified program to the attachments. 
    This program is ought to read a waveform file add noise to it and then use an adaptive filter in order to get the orginal waveform back again and if possible either store or play the final waveform. 
    Thanks for your help.
    Attachments:
    Testing.vi ‏62 KB

  • How to create 2 output signal locked in phase from a one input signal

    Hi all,
    I have a case in which I want read in a signal analog input (voltage) from a photodiode, I want to create a 2 sinewave signal locked in with same phase as the input signal and output them as analog output to my 2 laser diode. the frequency of the input signal is low aroud 15 Hz , the 2 output signal i want to be  around 120 hz and 150 hz . 
    I am using USB - 6003 daq card.
    I have created a vi to see the input signal but I dnt know how to create 2 signal from the waveform generator locked in the phase as the input signal. I have seen a PLL vi , but dont know how to use that with my program.
    can any one tell me how to proceed.
    thanks
    AG
    Attachments:
    pulse_PLL.vi ‏27 KB

    Hi AnkitG,
    Here are a couple of links that might help you understand a little more how the PLL work and a way to synchronize the task to perform a PLL.
    http://digital.ni.com/public.nsf/allkb/07BC8D77D4E9AE258625708B007CE74F?OpenDocument
    http://digital.ni.com/public.nsf/allkb/2F675A9F2162EA24862572570059A308?OpenDocument
    Also, you should be able to find a lot of examples on how to accomplish the synchronization of tasks on the community, if you consider that the only consideration is that both must start at the same moment. 
    I hope, these examples might show you some useful concepts:
    http://digital.ni.com/public.nsf/allkb/EBD603683446EC13862575B3006A63A2
    http://digital.ni.com/public.nsf/allkb/9E71F8D7D3CD1B0886257688004AE353
    Regards,
    Luis C.
    National Instruments

  • How to use the discrete unit delay block with the waveform as the input data type?

    Hi,
    I'm using LabView 2011 to implement a design system. I want to first downsample the input signal and then go through the discrete unit delay function. However, after the unit delay function, i don't get the right output, is there a way to fix that?
    The input is fixed to be the simulate signal since i'm using it for other implementation as well and i don;t want to change it.
    plz see the attachment , thx!
    Attachments:
    test2.vi ‏355 KB

    Hi Tim,
    Thanks for replying!
    What u did is exactly what I want except that I want to downsample the simulate signal first before I put it into a delay block.
    I tried what u said, however, it only works for very small frequency such as 0.01 Hz. When I set the input to 10 Hz, the delay block doesn't work at all. For the diagram u have, what is the input frequency u set to the simulate signal?
    The reason I'm use the Express VI is because I use it as the input of the frequency estimation block. So basicly what I'm doing is :
    sine wave ->downsample it->delay the downsampled signal and do some numerical calculation(adding,multipling) ->estimate the frequency of the output signal -> this frequency is used to determin the sample factor in the downsampling process.
    I attached the VI with the downsamped involved
    I can't copy the downsample.vi because I use the evaluation version 30 days for that toolkit .
    I attached the VI for frequency  estimation as well.
    Thanks so much!
    Ivy
    Attachments:
    Testing.vi ‏238 KB
    Frequency Estimation.vi ‏61 KB

  • The input line is too long.

    I am a prentice on J2EE by using the Tutorial 1.4.
    - Problem:
    I keep getting this error message: "The input line is too long. The syntax of the command is incorrect." from the windows's terminal no matter what arguments I issued for the command asant right after I successfully executed command "asant build" under the directory of both <Install>/examples/web/bookstore and bookstore1 when I practice the topic of The Example Servlets on Chapter 11: Java Servlet Technology.
    The command and the arguments are:
    asant create-db_common
    asant create-bookstore-war
    asant package-bookstore
    asant deploy-war
    All of them have the same outcome:
    "The input line is too long.
    The syntax of the command is incorrect."
    I have tried to do the research on the google and ased some Java developer friends and school teachers; unfortunately, none of them can solve this problem.
    - Environment:
    The OS I am using is win2k professional. The commands were issued on DOS terminal. I have successfully built application server, and pointbase, deploy tool. I have utilize this tools and environment to run all the examples very well on Chapter 8: Building Web Services with JAX-RPC.
    The Server and PointBase were activated when I issued those commands.
    -New Problem:
    Even worse, after failing on running the Servlets Examples, I got the same error message when I go back to the Chapter 8's Examples and run the command "asant build" on the directory <install>\j2eetutorial14\examples\jaxrpc\staticstub.
    - tried solutions:
    (A) I checked the log from admin console and found this error message that was Severe:
    A "com.sun.enterprise.tools.guiframework.exception.FrameworkError" was caught. The message from the exception: "Unable to get View for viewDescriptor 'webApplications'"
    The root cause is "com.sun.enterprise.admin.common.exception.MBeanConfigException: Component not registered"
    See the HTML source for more detailed (stack trace) information.
    (B) I also after unistalling the Application Server from the directory of C:\Sun\AppServer, and reinstall the Server onto C:\AppServer; in addition endited the build.properties file under the directory of <install>\j2eetutorial4\examples\common on the line of j2ee.home=C:\Sun\AppServer to j2ee.home=C:\AppServer, I got the error msg as following:
    D:\Java\Tutorial\J2EE\j2eetutorial14\examples\jaxrpc\staticstub>asant build
    The system cannot find the path specified.
    The input line is too long.
    The syntax of the command is incorrect.
    where D:\Java\Tutorial\J2EE\j2eetutorial14\examples\jaxrpc\staticstub is the project I had successfully built before I tried to build on Servlet project.
    Can anyone or the tutorail's authors give me some helps? Thanks!

    This is a known problem of win2k...
    one of the solution is to shorten your J2EE path... e.g shorten c:\program files\sun\app_server to c:\app_server. i haven't tried this method personally though.
    the other solution is to use winXP. i have tried it n its working.

  • Generating signal from the 5412 which is in sync with the external signal

    Hi,
    I am using the 5412 AWG. An external signal comes from the test unit. I need to generate a signal to the test unit which should be in synchronous with external signal which comes from the test unit. Can anyone help in this?
    Regards,
    Rajashekar

    Hi Rajashekar,
    You could synchronize these two signals by sharing a start trigger and using the input signal from your test unit as the sample clock for the 5412.  There are some considerations when doing this that can be seen in the External Sample Clock Considerations section of the 5412 help linked below:
    External Sample Clock Sources
    If you are using LabVIEW, you can find examples in the Example Finder located at Help >> Find Examples.  The FGEN examples are located at Hardware Input and Output >> Modular Instruments >> NI-FGEN >> Arbitrary Waveform Generation.  You can choose which line to use as the sample clock.  You will also need to add in some triggering.  You can find an example of triggering at  Hardware Input and Output >> Modular Instruments >> NI-FGEN >> Synchronization >>FGEN DAQmx Synchronization.vi.  This is synchronizing to a DAQ card, but you can synchronize to your external signal just the same way, i.e., sharing a start trigger and a reference clock.
    Regards,
    Jim Schwartz

Maybe you are looking for