How to import a 3d model in LabVIEW

Hello all, I am a new user in LabVIEW, how can I import a 3d model (eg. .max or .3ds file) in LabVIEW? Thx

I had a similar problem, showing a free-form 3D-object from a construction program. I tried to import the model from a VRML file, but the appropriate VI "Load VRML File.vi" couldn't handle the complexity of the the file. I suppose this is also true for the other file loading VIs.
My steps were:
Exporting the 3D- Object as a VRML- file. I checked the correct export with the free VRML viewer/editor "White_Dune". Since my object was a free form object, it didn't consist of geometrical forms, such as cylinders or cones but a great number of points, describing the surface. In the .wrl soucefile this is to be seen as a long row of numbers, surrounded by [ ] brackets. These numbers are the x-y-z coordinates of the points. I copied them to another file and made a VI to translate them to an array of <x;y;z> - clusters. These clusters could be used to view the points in a 3D Parametric Surface Control. I used this to determine the points, which are necessary to describe the object with adequate detail level.
Next step was to translate this cloud of points in a form suitable for the mesh- functions used by the 3D- Picture Control. I chose the easy way and projected all points on one plane (e.g. the x-z-plane) and determined the hull points of the resulting 2D geometry. This I could easily feed into the Mesh- VI. But this is not the solution for every problem.
If you have some questions or need explanations in more detail, please ask.
greets, Dave
Greets, Dave

Similar Messages

  • How to import timestamps from excel into labview

    hello everyone, how to import timestamps from a column in excel into labview?
    I am bugged with this problem for long now... can anyone help please?
    Now on LabVIEW 10.0 on Win7

    LV and Excel use a different reference time (LV was 1.1.1904?). You need to convert between both references. I don't remember the details how I did it and I'm away from my code base.
    Felix
    www.aescusoft.de
    My latest community nugget on producer/consumer design
    My current blog: A journey through uml

  • How to import a string array from labview into DIAdem Spreadsheet/table

    How to set up a diadem template so when using labview diadem express, the values are imported into a table.
    I have values such as gain, corner frequency, and pass/fail that exist in arrays generated from collecting information from a 7 electrode EEG system.  I want to create a table/spreadsheet in DIAdem that imports the data when using the LabVIEW DIAdem express function, into a table or spread sheet?
    Any takers?
    -Regards
    eximo
    UofL Bioengineering M.S.
    Neuronetrix
    "I had rather be right than be president" -Henry Clay
    Solved!
    Go to Solution.

    Hi eximo,
    The DIAdem Report express block makes it easy to populate text boxes and 2D graphs in DIAdem from variables (wires) in LabVIEW.  Unfortunately neither 2D tables nor 3D graphs are implemented in the DIAdem Rerpot express block.  So you've got 2 options.
    Option 1:  if you don't have too many strings that you want to display, you can arrange that many text boxes into the shape of a 2D table and use the DIAdem Report express block as it was intended (sending data to it from LabVIEW wires).
    Option 2:  at some point as you continue to add elements to your report, you'll probably end up here.  The DIAdem Report express block was designed to connect LabVIEW wires with simple DIAdem reports.  But there is a hook you can use in the DIAdem Report express block to run a VBScript instead of loading a REPORT template *.TDR file.  With a DIAdem VBScript you can accomplish anything in DIAdem.  In addition to wiring up a VBScript path instead of a REPORT template path, you'll also need to send all the data you want to report on to a TDMS file and have DIAdem read the data from that file, instead of receiving the data directly from the LabVIEW wire at the express block's input terminal.  This is a little more complicated, but it will allow you to do absolutely anything you want to in DIAdem and start that report from LabVIEW.
    I'm attaching an example of Option 2, but I'd be willing to help you adapt it to your data and reporting needs if you'll post or email ([email protected]) your data set and a rough *.TDR file of what you want in REPORT.  It's pretty slow here at the office over Christmas, so I've got time....
    Brad Turpin
    DIAdem Product Support Engineer
    National Instruments
    Attachments:
    DIAdem Report File.zip ‏90 KB

  • How to import a web service into labview and make the assembly strong named signed?

    I have used the web services tool to import my .net project files. I am then putting them into clearcase. In order for my dll's to work on a network im getting the error that they need to strong named signed. Is there anyway of strong name signing them with in the web services tool, or modifying the dll's after they've been created? Thanks for any help!

    dbell0971,
    I appreciate your willilngness to help on this issue.  However, it doesn't seem like we are on the same page here.
    When you import a webservice it creates an assembly.  That assembly is .NET.  In general you cannot run an assembly on a shared drive unless it is "trusted".  You can make the assembly trusted by adding some classes and properties to it (i.e. strong signing it)- http://msdn.microsoft.com/en-us/library/xc31ft41.a​spx
    However, since WE are not creating the assembly, LabVIEW is, then we don't have the source code so we can't just strong sign it. 
    The question is simple- Can the Import Web Service Utility strong sign the assembly it creates?
    Thanks,
    jigg
    CTA, CLA
    teststandhelp.com
    ~Will work for kudos and/or BBQ~

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • How to Import data models into BPA

    How can data models be imported into BPA? We understand that models can be imported from other
    BPA repositories. We need to import from something that does not start out as a BPA model. We know how to import the data objects using the Process Generator. But do not understand how to efficiently import Cluster or entity objects (representing tables) connected to ERM Attrribute objects (representing fields). Currently only an EPC model can be imported using the process generator. We've tried importing structures using UML import of class models, but the resulting model is not usable in standard data models.
    Any ideas?

    Have a look on this thread:
    Issues Importing BW Model in HANA Studio
    Regards,
    Krishna Tangudu

  • 求助:如何将amesim模型导入VeriStand中?Help!How to import amesim model into VeriStand?

    您好!
    尝试将AMESim 13的模型生成.dll导入Veristand中。
    AMESim中添加Veristand interface block成功,编译生成FileName_.dll文件后在Veristand中Add Simulation Model时提示Error-307703,Possible reason:The specified compiled model is not compatible with NI Verisand。另外,将AMESim模型和Simulink模型导入Veristand有什么具体步骤?期待解答。非常感谢!
    I will repeat it in English.
    I am trying to import an AMESim model into VeriStand.Anyone knows how i can do this?Is there any standard steps?
    I have make Veristand interface block  into my AMESim model ,compiled successfully and made a DLL file.But when I ''Add Simulation Model'' in Veristand an error occured.
    Error-307703,Possible reason:The specified compiled model is not compatible with NI Verisand.
    Thanks very much in advance.

    您好!
    尝试将AMESim 13的模型生成.dll导入Veristand中。
    AMESim中添加Veristand interface block成功,编译生成FileName_.dll文件后在Veristand中Add Simulation Model时提示Error-307703,Possible reason:The specified compiled model is not compatible with NI Verisand。另外,将AMESim模型和Simulink模型导入Veristand有什么具体步骤?期待解答。非常感谢!
    I will repeat it in English.
    I am trying to import an AMESim model into VeriStand.Anyone knows how i can do this?Is there any standard steps?
    I have make Veristand interface block  into my AMESim model ,compiled successfully and made a DLL file.But when I ''Add Simulation Model'' in Veristand an error occured.
    Error-307703,Possible reason:The specified compiled model is not compatible with NI Verisand.
    Thanks very much in advance.

  • How can we import a text file in Labview,Is this process require CIN utility

    Hi,
    I am working in physics lab where i am working on labview and want to import a text file in labview, if anyone knows ,please mail me at [email protected]

    Hi Ajay,
    See attached V 7.0 example...
    Hope it helps,
    Nick
    Attachments:
    Read_txt_File.vi ‏20 KB

  • How can I import an Autocad drawing in LabView ?

    Hi, I am new in this forum and have just started to use LabView and the DSC module. My question is: Is it possible to import an Autocad drawing in LabView and use it as a background picture with dynamic I/O tags on top of it, e.g. to import a picture of an office and then place status info of temp, light level, doors open/closed etc. on top of the background picture. If anybody has got some example code they would like to share, I would be grateful. Thanks in advance.

    Hi,
    LVDSC supports the BMP and WMF file formats for images. If you can somehow convert your Autocad drawing into any of these formats, using them in your VIs is easy.
    In the Tools>>Datalogging & Supervisory Control menu, you'll find an Image Navigator. This image navigator will allow you to import your images and copies them to Clipboard which you can later paste onto your front panels.
    If you can't convert or 'save as' your Autocad drawing to a BMP/WMF format, as a last resort, you can take a screen dump (hit Alt+PrintScrn) and save it as either of these formats.
    Hope this helps.
    Rgds,
    Khalid

  • How to open a .MAT file in LABVIEW

    Hey everyone,
    I currently have a .MAT image file which I would like to open in LABVIEW to apply some image filters. However, I am unsure as to how to open the .MAT file in LABVIEW. Ideally I would like to be able to open the .MAT file without using matlab, and it seems the only way to accomplish this task is through the mathscript node. I have attached an example of the image im trying to open, as well as the LABVIEW program which I would like to use on the image. Thanks for the feedback! =]
    Attachments:
    MAT_Image_Adjust.zip ‏1779 KB

    Hi Boiler,
    1) Do you have a choice in the format you export your data from MATLAB?
    "ASCII Format
    Complete the following steps if you want to import or export data between LabVIEW and the MATLAB® environment, the process is straightforward as long as you are using ASCII format.
    From the MATLAB® environment to LabVIEW
    To save a vector or a matrix Xin ASCII format with tab delimiter, enter the following in the command window or m-script file in the MATLAB® environment:   
    >>SAVE filename X -ascii -double -tabs
        This creates a file whose name is filename, and it contains the data X in ASCII format with a tab delimiter.
    Import the file into LabVIEW using the Read From Spreadsheet File VI located on the Programming»File I/O palette.
    2) Have you tried using the mathscript node? Did you get any errors?
    "Binary Format
    Complete the following steps if you want to import or export data between LabVIEW and MATLAB®.
    From the MATLAB® environment to LabVIEW
    To read a .mat file in LabVIEW would require a VI to parse the file. This may be easier if each variable is saved to a separate file.
    " -- this was done here, no ideas if it still works,
    I want to read a Matlab MAT file into labview
    Hope this helps, James
    Kind Regards
    James Hillman
    Applications Engineer 2008 to 2009 National Instruments UK & Ireland
    Loughborough University UK - 2006 to 2011
    Remember Kudos those who help!

  • How can i load a VI from LABVIEW ver 6.0.1b3 to LABVIEW ver 6.1

    How can i load a VI from LABVIEW ver 6.0.1b3 to LABVIEW ver 6.1......I want to load a vi but i'm getting this error:
    LabVIEW load error code 9:VI version (6.1) is newer than LabVIEW version (6.0.1b3)
    My LabVIEW ver is 6.1
    Please help ......it's important
    Thanks

    I am having similar issues with a *.exe from LV6.1.  I have the runtime engine for 6.1 and recently upgraded to 8.2 from 7.1.  I've never had 6.1 on my machine.  I am able to install this executible and runtime engine on a machine with 7.1 and can run the *.exe fine. 
    I get a load error and am not sure why.  I have had similar issues with old VI's that I have written in 7.1 executing on this machine w/ 8.2
    any suggestions.
    Attachments:
    error.zip ‏14 KB

  • How convert spaces in logical model to underscores in physical model

    Hi,
    in Oracle Designer we used spaces in logical model objects (entities, attributes, relations) which were automatically converted to underscores when the physical model was generated from the logical one. Is there any way how do this conversion in Data Modeler? So far I have been able to convert mixed case in logical model to uppercase in physical model (with the exception of foreign keys) but changing space to underscores still eludes me.
    Why I think this is important: the logical model should use "normal" language if possible, i.e. spaces, mixed case etc. But the physical model should have uppercase only and definitely no spaces. Having objects in Oracle defined with spaces leads to many difficulties since any reference to the object must use "" around the name of the object...
    Thanks
    Jakub

    Hi,
    but the problems continues (even when the model is reduced to no entities at all!)
    The error you reported (in engineering and import) cannot be reached if there are no entities and attributes - it's a specific error probably related to usage of distinct types that are removed from design.
    You can use search functionality in order to find attributes/columns (logical/relational model) with incorrect data type definition based on distinct type.
    And it's better to move to Data Modeler 4.0 (833).
    So what to search (example is on logical model - it's the same for relational model - column):
    1) Activate search window (CTRL-F when logical diagram is active, or Find icon), switch to advanced mode
    2) for "Object type" Attribute:
    - check "Data Type kind" line and put DT as search text
    - check "Distinct type" line, don't put search text - it'll search for attributes without distinct type
    - change the operand for expression from OR to AND
    3) press "Find" button
    If you find some attributes, then you need to decide what to do with them - to set data type or to delete them.
    The same for columns in relational model.
    Philip

  • Importing Java Bean Model in Webdynpro Development Component

    Hi All,
    Is there any simple tutorial, example  to import java bean model in webdynpro development component.
    I am using NWDS 7.0 SP14 and WAS 7.0.
    it really helps if you can  provide simple EJB as a development component with details how to create a public part and using this bean as java bean model in Webdynpro development component.
    what are the steps to be followed with precautions
    Thanks in Advance,
    Murali

    Hi,
    [Using the Java Bean Model in Web Dynpro|https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/4072c0d0-c21e-2b10-ab84-e2c183d355de]
    [Using EJBs in Web Dynpro|https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/1f5f3366-0401-0010-d6b0-e85a49e93a5c]
    you will get more if you search in the forum.
    more help on EJB's
    Re: Help needed in EJB
    http://help.sap.com/saphelp_nw70/helpdata/EN/19/f9bc3d8af79633e10000000a11405a/frameset.htm
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/70929198-0d36-2b10-04b8-84d90fa3df9c
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/1f5f3366-0401-0010-d6b0-e85a49e93a5c
    PradeeP

  • Error in Import Adaptive RFC Model

    Hi SDN,
    I am trying to develop an application using Import Adaptive RFC Model, while creating a model i could not able to connect to the backend system after giving the single server parameters. When i click on the next button a pop up is displayed with an error message *"User Name or Password wrong" *.
    But i could able to login to R/3 with the same userid and password from SAP logon pad.
    Really i am at Six and Seven's to trace out this error. Please suggest me how to overcome this error and create the model succesfully.
    Regards
    Basha

    Hi Praveen & Chaitanya
    Thanks for your quick response and informative threads.
    Actually in order to import an adaptive rfc model
    I have right clicked the model node to create a model and given the model name,package name,model data and metadata names and clicked next button. Then in the next screen
    Tab Strip -> Single Server:
    Host name :
    System Number :
    client details:
    Tab Strip -> Load balancing:
    System :
    Message Server:
    System Name:
    Group:
    (in Tcode: SLMG i have added the group public also)
    client details:
    After entering the required details either in Single Server or Load balancing and clicked the next button  I could not able estabilish a connection to the backend R/3 and get the list of Bapi's or RFc's. Instead i am getting a pop up message "User Name or Password Wrong". I could not able to proceed from here.
    But with the same above parameters i could able to logon to R/3 from SAP Logon Pad. I have tried by giving the user name in Cap's and small letters but no use.
    As i was strucked at the intial step while creating the model but not during the execution of the program, as far as my knowledge is concerned i think we no need to worry on SLD or JCO connections during the creation of the model. Please correct me if i am wrong and suggest me to rectify the error.
    Regards
    Basha

  • How to import a image and compare with another image to find their deviation

    i am new to labview , can anyone tell how to import a image and compare  it with another image  to find their deviation
    Solved!
    Go to Solution.

    This is an quite easy task using the Vision Development Module.
    There you can acquire images from cameras or read them form the harddrive, then differntiate them or since VDM 2010 calculate the optical flow between consecutive images.
    Christian

Maybe you are looking for

  • MacBook Pro Late 2013 start-up lag

    I have a late 2013 Macbook Pro 13 inch, with the i7 and 16 gb of ram and 256gb ssd. I had it for a couple of months and it has been running great.  The only problem that I have with it is that on start-up once I press the power button it takes roughl

  • Oracle in zone and shmmax

    Hello, we have installed two zones with one oracle instance in each zone. It worked ok but our dba admins wants now to resize SGA in one zone to much bigger size (over half of the memory). But the problem is that Oracle says: SQL> startup ORA-27102:

  • HT2481 How do I resize a photo in iPhoto?

    The Edit menu gives me choices to rotate, enhance, etc. but not resize.  Very fustrating.  

  • Correct appearance in IE and NS, not in Firefox

    Hi, I finished my first page using CSS. It looks OK in IE and NS http://www.kalmanovitz.co.il/). In Firefox the upper menu (in line) looks distorted. Any one can help to fix it? TIA Nanu

  • Wiifii connected but no access

    My iPad indicates full connection with my home wifi but I cannot access internet pages.  My husband is having no problems on his iPad.  Everything is up to date in terms of software.  HELP