Refrencing 1 xsd to another

Hi
I have to import this XYZ.xsd as an External definations. Importing it is no problem, but this XYZ.xsd has some references of another ABC.xsd file which is another separted xsd file.
This is  the <xs:include schemaLocation="ABC.xsd"/>.  where it is calling or referencing the 2nd xsd(ABC.xsd).
So the question is, how do i link both the xsds, i am using pi 7.1
<?xml version="1.0" encoding="UTF-8"?>
<xs:schema targetNamespace="http://www.ABC.org/Retail-EDI/Vocabulary/2003-10-16" elementFormDefault="qualified" attributeFormDefault="unqualified" xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:pcats="http://www.ABC.org/Retail-EDI/Vocabulary/2003-10-16">
     <xs:include schemaLocation="ABC.xsd"/>
     <xs:element name="ABC-Acknowledgment">
          <xs:complexType>
               <xs:sequence>
                    <xs:element ref="pcats:field1"/>
                    <xs:element ref="pcats:field2"/>
                    <xs:element ref="pcats:field3" minOccurs="0" maxOccurs="unbounded"/>
               </xs:sequence>
               <xs:attribute name="version" type="xs:string" fixed="1.5"/>
          </xs:complexType>
     </xs:element>
     <xs:element name="RejectedElement">
          <xs:complexType>
               <xs:sequence maxOccurs="unbounded">
                    <xs:element ref="pcats:field1"/>
                    <xs:element ref="pcats:field2"/>
               </xs:sequence>
          </xs:complexType>
     </xs:element>
</xs:schema>
Thanks
SG

Hi SG,
I'm not sure what 7.1 looks like, but this may help:
In XI 3.0, there is a tab there called External References. You will see there a Source and a Name. When you click the Name, click Copy Object. Then you open the target object and paste it under the Source located just before the tabs.
The Name you see in the External References are the ones that are declared as include or import in the XSD.
Hope this helps,

Similar Messages

  • Importing XSD into another XSD

    Hi All,
    Is it possible to import one XSD into another XSD. I want to have all the elements like odsCadEvent, odsCadAgency, odsCadUnit defined in separate XSD and imported into the master.xsd. This way I don't have the data defintions in the master.xsd
    My sample XSD let's say (master.xsd) is big and will grow further.
    <xs:schema targetNamespace="http://xmlns.oracle.com/pcbpel/adapter/db/top/ortdOdsCadEvent" xmlns="http://xmlns.oracle.com/pcbpel/adapter/db/top/ortdOdsCadEvent"
    elementFormDefault="qualified" attributeFormDefault="qualified" xmlns:xs="http://www.w3.org/2001/XMLSchema">
    <xs:element name="odsCad" type="odsCadCollection"/>
    <xs:complexType name="odsCadCollection">
    <xs:choice>
    <xs:element name="odsCadEvent" type="odsCadEvent" minOccurs="0" maxOccurs="1"/>
    <xs:element name="odsCadAgency" type="odsCadAgency" minOccurs="0" maxOccurs="1"/>
    <xs:element name="odsCadUnit" type="odsCadUnit" minOccurs="0" maxOccurs="1"/>
    </xs:choice>
    </xs:complexType>
    <xs:complexType name="odsCadEvent">
    <xs:sequence>
    <xs:element name="datasetname" minOccurs="1" maxOccurs="1">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="32"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
    <xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="callsourceofevent" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="8"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="callername" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="50"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="streetaddresscaller" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="currentrecord" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventappartmentno" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="14"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventareaoflocation" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="40"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcommonplacename" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventdirectionalprefix" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="4"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventdirectionalsuffix" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="4"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventstreetname" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="240"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventstreettype" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="4"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventsuburb" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="40"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventstreetno" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="11"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="locationcomment" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="100"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="locationinterestsearch" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="patientname" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="45"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="updatedatatimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="xcordofevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="crossstreetname1" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="60"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="crossstreetname2" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="60"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="ycordofevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="directorymelway" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="11"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="directoryvicroads" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="11"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="communicationdifficulty" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="20"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="destcommonplacename" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="callerphonenumber" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="25"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
    <xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    </xs:sequence>
    </xs:complexType>
    <xs:complexType name="odsCadAgency">
    <xs:sequence>
    <xs:element name="datasetname" minOccurs="1" maxOccurs="1">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="32"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
    <xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyeventnumber" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="12"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="agencyeventnumberrevision" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="9"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="alarmlevelofevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="datetimefirstunitarrived" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="numberunitonevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="currentrecord" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="scheduleeventdestinationeid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="dispatchgroup" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="dispatchedtimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="eventstatus" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimestampheldagreedrespons" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="heldeventtypecode" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="heldunitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="10"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode2" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="6"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode3" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="7"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode4" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode5" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="openandcurrent" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimestamppendingevent" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="employeeidprimmember" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="primaryunitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="10"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="priority" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimestampstatuschange" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestampeventcreated" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestamptransportarrived" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestamptransport" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="updatedatatimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestampclose" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="terminalwhocloseevent" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="15"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="reopened" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcodesubcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcodedescription" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="timeeventaddedtosystem" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
    <xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="psychCategory" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="psychUrgency" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="beginDataEntry" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="eventCreationTime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    </xs:sequence>
    </xs:complexType>
    <xs:complexType name="odsCadUnit">
    <xs:sequence>
    <xs:element name="datasetname" minOccurs="1" maxOccurs="1">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="32"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
    <xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyeventnumber" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="12"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="agencyeventnumberrevision" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="9"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="unitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="10"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="carid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="6"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="unitstatus" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="2"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="dispatchgroup" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="xcordlastposition" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="ycordlastposition" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="location" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="240"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="mdtunitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="24"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcodesubcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="unithistorycomment" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="200"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimeupdatestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
    <xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    </xs:sequence>
    </xs:complexType>
    </xs:schema>
    Thanks

    It is possible. I have used import in wsdl for clean definition. The same should apply to XSD also.
    http://www.xfront.com/HideVersusExpose.html should be helpful in understanding the design aspects.
    Eg.
    <wsdl:definitions name="testAdapter" targetNamespace="http://xmlns.oracle.com/pcbpel/adapter/db/JCADBTest/Project1/testAdapter" xmlns:tns="http://xmlns.oracle.com/pcbpel/adapter/db/JCADBTest/Project1/testAdapter" xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/" xmlns:plt="http://schemas.xmlsoap.org/ws/2003/05/partner-link/" xmlns:top="http://xmlns.oracle.com/pcbpel/adapter/db/top/testAdapter">
    <plt:partnerLinkType name="testAdapter_plt">
    <plt:role name="testAdapter_role">
    <plt:portType name="tns:testAdapter_ptt"/>
    </plt:role>
    </plt:partnerLinkType>
    <wsdl:types>
    <schema xmlns="http://www.w3.org/2001/XMLSchema">
    <import namespace="http://xmlns.oracle.com/pcbpel/adapter/db/top/testAdapter" schemaLocation="xsd/testAdapter_table.xsd"/>
    </schema>
    </wsdl:types>
    Manoj
    Edited by: Manoj Neelapu on Apr 16, 2010 8:32 AM

  • Exp XML Schema (.xsd) to another schema on same instance

    Hello,
    I hope someone on this forum can help me or point me in the correct direction. I want to export a schema which contains a table (EQUIPMENT) which has a XMLType column defined against a registered .xsd. I would then like to restored this schema to another schema on the same instance (development instance) and also onto another db instance.
    I have been trying to do this with the help of "Chapter 30 Importing and Exporting XMLType Tables" from the Oracle® XML DB Developer's Guide
    10g Release 2 (10.2). Unfortunately without success. At the end of this message I have encluded sample error messages that I am receiving for creation of TYPES which is causing my import to fail.
    I cannot find any examples on the web on how to achieve an exp from one schema and imp in another either on the same instance or another one.
    DDL for my table is :
    create table EQUIPMENT
    ID number(7) not null,
    STATUSID number(7) not null,
    ATTRIBUTEDATA xmltype
    xmltype column ATTRIBUTEDATA xmlschema "EQUIPMENT.xsd" element EQUIPMENT_XML
    tablespace TBS_DATA1;
    Three test runs as follows:
    1. Using an empty u2 schema and I register the .xsd file. Then I try to import (FROMUSER - TOUSER imp mode) my dump file which leads to the following error:
    IMP-00017: following statement failed with ORACLE error 2304:
    "CREATE TYPE "MTA1440_T" TIMESTAMP '2007-11-14:14:42:16' OID '3EE57B10307317"
    "74E044080020C94102' AS OBJECT ("SYS_XDBPD$" "XDB"."XDB$RAW_LIST_T",""
    "ATTRIBUTE_01" NUMBER(38),"ATTRIBUTE_02" VARCHAR2(4000 CHAR),"ATTRIBUTE_03" "
    "VARCHAR2(4000 CHAR),"ATTRIBUTE_04" NUMBER(38),"ATTRIBUTE_05" VARCHAR2(4000 "
    "CHAR))FINAL INSTANTIABLE "
    IMP-00003: ORACLE error 2304 encountered
    NOTE: Even though import failed, I now see a new TYPE created called "MTA1526_T".
    2. If I try to create the TYPE as is from the error above I get the following error:
    SQL> CREATE TYPE MTA1440_T
    2 OID '3EE57B1030731774E044080020C94102'
    3 AS OBJECT (SYS_XDBPD$ XDB.XDB$RAW_LIST_T,
    4 ATTRIBUTE_01 NUMBER(38),
    5 ATTRIBUTE_02 VARCHAR2(4000 CHAR),
    6 ATTRIBUTE_03 VARCHAR2(4000 CHAR),
    7 ATTRIBUTE_04 NUMBER(38),
    8 ATTRIBUTE_05 VARCHAR2(4000 CHAR)) FINAL INSTANTIABLE;
    9 /
    CREATE TYPE MTA1440_T
    ERROR at line 1:
    ORA-02304: invalid object identifier literal
    3. So now I create the "MTA1440_T" type without the OID value and retry the import.
    IMP-00061: Warning: Object type "U2"."MTA1440_T" already exists with a different identifier
    "CREATE TYPE "MTA1440_T" TIMESTAMP '2007-11-14:14:42:16' OID '3EE57B10307317"
    "74E044080020C94102' AS OBJECT ("SYS_XDBPD$" "XDB"."XDB$RAW_LIST_T",""
    "ATTRIBUTE_01" NUMBER(38),"ATTRIBUTE_02" VARCHAR2(4000 CHAR),"ATTRIBUTE_03" "
    "VARCHAR2(4000 CHAR),"ATTRIBUTE_04" NUMBER(38),"ATTRIBUTE_05" VARCHAR2(4000 "
    "CHAR))FINAL INSTANTIABLE "
    Questions from me:
    A. Can I export TYPES only as suggested by the online documentation ?
    B. If importing onto same instance in another schema surely the OID for the TYPE will always fail - so then why can the import not create the required TYPE name itself during the import ?
    C. Should I use global TYPES and Register the .XSD globally for all schema's in an instance to validate against .. would this prevent me from having errors on an import ?
    I would appreciate any insight any one could provide me. Many thanks in advance.
    Dom

    Hi Guys,
    Thank you all for the replies. I am dissappointed to hear that in 10g does not support exp/imp of schema's structured XML. However I am a little confused or should I say mislead by the "" documentation I
    Here is an extract from chapter "30 - Importing and Exporting XMLType Tables" from the Oracle XML DB 10g Developers Guide documentation:
    "..... Oracle Database supports the import and export of XML schema-based XMLType tables. An XMLType table depends on the XML schema used to define it. Similarly the XML schema has dependencies on the SQL object types created or specified for it. Thus, exporting a user with XML schema-based XMLType tables, consists of the following steps:
    1. Exporting SQL Types During XML Schema Registration. As a part of the XML
    schema registration process .....
    2. Exporting XML Schemas. After all the types are exported, XML schemas are
    exported as XML text .....
    3. Exporting XML Tables. The next step is to export the tables. Export of each table consists of two steps:
    A. The table definition is exported as a part of the CREATE TABLE statement....
    B. The data in the table is exported as XML text. Note that data for out-of-line
    tables is.....
    From this documentation I was under the impression that the exp/imp of XML Schema-Based XMLType Tables was supported.
    Regarding the backup mechanism/strategy for database schema's containing tables with Schema-Based XMLTypes what would you recommend the best online backup method to use - tablespace backups ?
    What I need to be able to do in day-to-day work is to have the ability to be able to take a copy of a customers UAT or production database schema and apply it to a dev or test db instance here for bug testing etc. Do you have any advice on best to achieve this without the use of an exp/imp when the schema will contain Schema-Based XMLType tables.
    Thank you all for your assistance so far.

  • Error referencing from WSDL to an XSD in another project in OEPE.

    Hi,
    I have 2 OSB projects in my workspace. 1 project contains my CDM files, the other one is a project which makes use of the CDM. No problem you would think but it seems that OEPE can't handle any more then 2 ../ to go up in my filetree?!?
    For example this import is ok.....OEPE doesn't complain:
    <xs:import namespace="http://www.mycompany.nl/schema/generic" schemaLocation="../../General/CDM/GenericTypes.xsd"/>
    but this import gives a problem:
    <xs:import namespace="http://www.mycompany.nl/schema/generic" schemaLocation="../../../General/CDM/GenericTypes.xsd"/>
    This gives me the following error: The dependency is not configured in schema resource. Possible reason one or more import/include is not set correctly.
    Im using Oracle workshop for weblogic Version: 10.3 Build id: 1137967.
    When I check the files with XMLspy.....there is no problem at all.
    Does anyone have a fix for this perhaps?
    Much thanks!
    Edited by: 860367 on 16-aug-2012 11:06

    Hi Vijay,
    The files are located like this on my file system
    project
        -General
            -trunk
                -CDM
                    -GenericTypes.xsd
        -Retail
            -trunk
                -OrderService
                    -Schemas
                        -OrderService.xsdMy configuration project looks like this:
    -OSB Configuration
        -CDM
            -GenericTypes.xsd
        -Retail
            -OrderService
                -Schemas
                    -OrderService.xsdThe import in OrderService looks like this: ../../../../../General/trunk/CDM/GenericTypes.xsd but OEPE says its wrong but XMLspy does find it.
    Edited by: 860367 on 16-aug-2012 14:58

  • Use XSD referencing other XSDs with include statement in Message mapping

    Hello,
    I have an XSD with include statements having reference to other 4 XSDs.One XSD out of these 4,one references one more XSD
    I referred to the thread on this :
    refrencing 1 xsd to another   https://forums.sdn.sap.com/post!default.jspa?forumID=44#
    I have created 6 External Definitions one for each XSD
    Referring to the following statement in this thread:
    In XI 3.0, there is a tab there called External References. You will see there a Source and a Name. When you click the Name, click Copy Object. Then you open the target object and paste it under the Source located just before the tabs.
    The Name you see in the External References are the ones that are declared as include or import in the XSD.
    I can see included XSD names in Source column under External References tab in External definition for main XSD.
    But Name column is always blank and these columns are not editable.Also,copy doesn't work.
    We have XSD names in include statements,but I wonder when I create External definitions out of these XSDs ,how would my main External definition know,which other External definitions are referenced?
    If I use the External definition with main XSD as target structure in my mapping,I can only see the fields from this XSD and not the fields from the included XSDs.But I need to map these fields as well.
    Can anybody please let me know how can I get a complete XSD as target structure in my mapping?
    Thanks for your help.
    Regards,
    Shweta

    Plz close other duplicate threads for the same issue first..
    Regards,
    Sarvesh

  • Problem with import tag in xsl files and include in xsd files

    Hello,
    I've been developing an application using JBoss as server. Then, i created an especific path for xsd and another path for xsl files. In both cases, i created a main file that include (xsd) or import (xsl) the other files. My problem is that i have to specify the exactly path where the imported xsl or the included xsd files are, even though they are in the same path as the main file. What i want to know is how i say that the other xsd and xsl files are in the same path that the main file that is importing them?
    here's an example of my import and include declarations:
    <?xml version="1.0" encoding="UTF-8"?>
    <xsl:stylesheet version="1.0"
         xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
         <xsl:import href="../server/jboss/deploy/application.ear/pack.jar/xsl/transformer1.xsl"/>
    <?xml version="1.0" encoding="UTF-8"?>
    <xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema"
              elementFormDefault="qualified">
         <xsd:include schemaLocation="../server/jboss/deploy/application.ear/pack.jar/xsd/schema1.xsd"/>
    thanks for your help

    yes, thats not the value returned of
    URL url = this.getClass().
                        getResource("/xsl//transformer.xsl");the expression i posted is an string i'm using to test.... but i think it's not incorrect, because if the files i'm trying to open are not in the path, he throws the following exception:
    java.io.FileNotFoundException: C:\cpqd\isp\tools\third-party\jboss\server\jbossISP1\deploy\cpqd-ispeng-EngEJB-3.3.0.0.ear\cpqd-ispinv-ServiceEJB.jar\xsl\isp_transformer_manufacturer.xsl
        at org.jboss.net.protocol.file.FileURLConnection.connect(FileURLConnection.java:80)
        at org.jboss.net.protocol.file.FileURLConnection.getInputStream(FileURLConnection.java:89)
        at org.apache.xerces.impl.XMLEntityManager.setupCurrentEntity(Unknown Source)
        at org.apache.xerces.impl.XMLVersionDetector.determineDocVersion(Unknown Source)
        at org.apache.xerces.parsers.XML11Configuration.parse(Unknown Source)
        at org.apache.xerces.parsers.XML11Configuration.parse(Unknown Source)
        at org.apache.xerces.parsers.XMLParser.parse(Unknown Source)
        at org.apache.xerces.parsers.AbstractSAXParser.parse(Unknown Source)
        at org.apache.xalan.processor.ProcessorInclude.parse(ProcessorInclude.java:323)
        at org.apache.xalan.processor.ProcessorInclude.startElement(ProcessorInclude.java:192)
        at org.apache.xalan.processor.StylesheetHandler.startElement(StylesheetHandler.java:668)
        at org.apache.xerces.parsers.AbstractSAXParser.startElement(Unknown Source)
        at org.apache.xerces.parsers.AbstractXMLDocumentParser.emptyElement(Unknown Source)
        at org.apache.xerces.impl.XMLNSDocumentScannerImpl.scanStartElement(Unknown Source)
        at org.apache.xerces.impl.XMLDocumentFragmentScannerImpl$FragmentContentDispatcher.dispatch(Unknown Source)
        at org.apache.xerces.impl.XMLDocumentFragmentScannerImpl.scanDocument(Unknown Source)
        at org.apache.xerces.parsers.XML11Configuration.parse(Unknown Source)
        at org.apache.xerces.parsers.XML11Configuration.parse(Unknown Source)
        at org.apache.xerces.parsers.XMLParser.parse(Unknown Source)
        at org.apache.xerces.parsers.AbstractSAXParser.parse(Unknown Source)
        at org.apache.xalan.processor.TransformerFactoryImpl.newTemplates(TransformerFactoryImpl.java:972)
        at org.apache.xalan.processor.TransformerFactoryImpl.newTransformer(TransformerFactoryImpl.java:788)
        at br.com.cpqd.oss.isp.service.adapter.FrameworkAdapter.createExternalData(FrameworkAdapter.java:131)
        at br.com.cpqd.oss.isp.service.message.ExternalDataMDBBean.onMessage(ExternalDataMDBBean.java:92)
        at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
        at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:39)
        at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:25)
        at java.lang.reflect.Method.invoke(Method.java:324)
        at org.jboss.ejb.MessageDrivenContainer$ContainerInterceptor.invoke(MessageDrivenContainer.java:458)
        at org.jboss.resource.connectionmanager.CachedConnectionInterceptor.invoke(CachedConnectionInterceptor.java:186)
        at org.jboss.ejb.plugins.MessageDrivenInstanceInterceptor.invoke(MessageDrivenInstanceInterceptor.java:62)
        at org.jboss.ejb.plugins.AbstractTxInterceptor.invokeNext(AbstractTxInterceptor.java:84)
        at org.jboss.ejb.plugins.TxInterceptorCMT.runWithTransactions(TxInterceptorCMT.java:284)
        at org.jboss.ejb.plugins.TxInterceptorCMT.invoke(TxInterceptorCMT.java:150)
        at org.jboss.ejb.plugins.RunAsSecurityInterceptor.invoke(RunAsSecurityInterceptor.java:90)
        at org.jboss.ejb.plugins.LogInterceptor.invoke(LogInterceptor.java:192)
        at org.jboss.ejb.plugins.ProxyFactoryFinderInterceptor.invoke(ProxyFactoryFinderInterceptor.java:122)
        at org.jboss.ejb.MessageDrivenContainer.internalInvoke(MessageDrivenContainer.java:372)
        at org.jboss.ejb.Container.invoke(Container.java:709)
        at org.jboss.ejb.plugins.jms.JMSContainerInvoker.invoke(JMSContainerInvoker.java:928)
        at org.jboss.ejb.plugins.jms.JMSContainerInvoker$MessageListenerImpl.onMessage(JMSContainerInvoker.java:1205)
        at org.jboss.jms.asf.StdServerSession.onMessage(StdServerSession.java:276)
        at org.jboss.mq.SpyMessageConsumer.sessionConsumerProcessMessage(SpyMessageConsumer.java:904)
        at org.jboss.mq.SpyMessageConsumer.addMessage(SpyMessageConsumer.java:159)
        at org.jboss.mq.SpySession.run(SpySession.java:351)
        at org.jboss.jms.asf.StdServerSession.run0(StdServerSession.java:200)
        at org.jboss.jms.asf.StdServerSession.run(StdServerSession.java:180)
        at EDU.oswego.cs.dl.util.concurrent.PooledExecutor$Worker.run(PooledExecutor.java:743)
        at java.lang.Thread.run(Thread.java:534)and when the files are in the path, he throws the other exception... thats why i think the problem it's not the URL....

  • How to add multiple namespaces in XSD ?

    Can anyone tell me how to add multiple namespaces inside an XSD. Or how to import XSD into another XSD ??
    Thanks

    <xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns="http://xml.ibridge.nl/nl/rsg/domein/3/company" xmlns:alg="http://mynamespace/generic" targetNamespace="http://xml.ibridge.nl/nl/rsg/domein/3/company" elementFormDefault="qualified" attributeFormDefault="unqualified" version="2.1">
         <xs:import namespace="http://mynamespace/generic" schemaLocation="algemeen.xsd"/>
    you define xmlns:alg with a namespace
    and after that use the namespace itself to import a xsd for it

  • Dynamic XSD Mapping?

    Is it possible to make some kind om dynamic mapping from one XSD to another, based on some metadata stored for instance in DB table? The table could info like "field1 goes to fieldX".
    How can acomplish something like that?
    I know I could do in PL/SQL - parsing the incomming XSD and do some replacements. But this is not very elegant - there must be a cleaner way to handle this?
    Rgds, Henrik

    Thanx for the answer James ;-)
    I think I have to elaborate a little. the system we're building should be able to handle different input schemas (xsd). This means that i Can't /won't build a transformation for each xsd. furthermore; we're using a canonical model - so the task is basically to map the source xsd to the canonical target xsd. Since the source xsd can change dynamically - we have a setup telling which element goes to which element in the canonical model. The only solution I can come up with, is to use either Java or PL/SQL - to do the replacements/building the canonical schema.
    Rgds, Henrik

  • Validating against an XSD

    I'm trying to validate an imported XML file (in a clob) against an XSD. It is slightly complicated in that the XSD import another. I have tried to register both, using dbms_xmlschema.registerSchema, but the second one gets:
    ORA-01031: insufficient privileges
    ORA-06512: at "XDB.DBMS_XDBZ0", line 275
    ORA-06512: at "XDB.DBMS_XDBZ", line 7
    ORA-06512: at line 1
    ORA-06512: at "XDB.DBMS_XMLSCHEMA_INT", line 37
    ORA-06512: at "XDB.DBMS_XMLSCHEMA", line 61
    ORA-06512: at "XDB.DBMS_XMLSCHEMA", line 126
    ORA-06512: at line 25
    I've not found much information on this topic. Any ideas?
    I have a supplemental question. This file is being parsed using XMLDOM. Is there a way to extract an element and its contents as a piece of XML text that I can include in an error log?
    Thanks
    Steve

    Now I remember why we switched to using XMLTYPE when extracting information from the XML in PL/SQL.
    declare
      l_main_doc    dbms_xmldom.DOMDocument;
      l_main_xml    XMLTYPE;
      l_output      VARCHAR2(500);
      l_clob        CLOB := 'a';
      l_sample      VARCHAR2(500);
      l_nodelist dbms_xmldom.domnodelist;
    begin
      l_sample := '<Block>
    <Item><Record>1</Record><Value>123</Value></Item>
    <Item><Record>2</Record><Value>999</Value></Item>
    </Block>';
      -- DOMDoc way
      l_main_doc := dbms_xmldom.newDOMDocument(l_sample);
      l_nodelist := dbms_xslprocessor.selectnodes(dbms_xmldom.makenode(l_main_doc),
                                                  '/Block/Item[Record="2"]');
      dbms_xmldom.writeToClob(dbms_xmldom.item(l_nodelist,0),l_clob);
      dbms_output.put_line('#1');
      dbms_output.put_line(l_clob);
      dbms_xmldom.freeDocument(l_main_doc);
      -- XMLType way
      l_main_xml := XMLTYPE(l_sample);
      l_output := l_main_xml.extract('/Block/Item[Record="2"]').getStringVal();
      dbms_output.put_line('#2');
      dbms_output.put_line(l_output);
    end;outputs
    #1
    <Item>
      <Record>2</Record>
      <Value>999</Value>
    </Item>
    #2
    <Item>
      <Record>2</Record>
      <Value>999</Value>
    </Item>

  • Questions about web services and BPEL in ALBPM

    Hi all,
    We are currently evaluating various BPM products in order to decide which one to use. Currently I am evaluating ALBPM Studio 5.7 and I am running my processes on the embedded engine provided with the studio. I have some questions:
    1. We want to be able to use complex types for the web services that are generated for processes. Will this limitation be solved any time in the future?
    2. We want to be able to use the generated web services directly (that is, without calling the startSession method first). Is that possible?
    3. I could not find extensive documentation about developing BPEL processes. There is only one small chapter in the developer documentation about BPEL processes. Is there any more documentation or sample about how BPEL is used in ALBPM?
    4. I have developed a very simple BPEL process, but when I try to deploy it, I get the error "Activity BPEL receive does not have any incoming transitions". How can I resolve this issue? I guess it may be a problem about my PartnerLink definition.
    5. When I try to catalog a wsdl which imports another wsdl (and when the imported wsdl also imports some xsds from another namespace), I get an error which says that a web service xxx with namespace yyy is not found, but actually the thing named xxx is not a web service but a soap fault. What could be the problem?
    6. Is it possible to write a BP method in PBL for a BPEL activity?
    Thanks in advance and best regards..

    look for anwsers in the other newsgroup posting:
    http://forums.bea.com/bea/message.jspa?messageID=600047258
    MAriano
    Benitez/BEA/BPM/Beautiful

  • How to use "with clause query" in DBadapter

    Hi all,
    I need to implement a "with clause" query in oracle soa 11g bpel. When i put the query in db adapter in pure sql, the schema is not getting generated properly. Can any one suggest a solution to my problem.
    Regards,
    Kaushik

    Pure SQL won't work because it is expecting the first word in the SQL to be SELECT (or INSERT,UPDATE,DELETE).
    If your query is WITH ... SELECT ...
    try this:
    delete everything before SELECT. Copy and paste the generated XSD to another window. The SQL test may fail, but that will just mean that it couldn't fill in the types of the columns in the SELECT ... FROM list. You can always do that yourself by hand editing the XSD (including in the wizard before you hit next). Then put back the WITH ... clause before the remaining SELECT .... If the XSD gets overwritten, copy the version you saved in the other window and paste it over top. Then hit next and the runtime should still work.
    Keep in mind that SQL is very complex and hard to fully parse in the UI. However the minimum information the DbAdapter needs is quite limited; basically just the name and number of columns that are coming back. The XSD is meant to be editable in the wizard if the SQL is too complex.
    Thanks
    Steve

  • JAXB: Multiple schemaBindings error

    I have 2 schemas, schemaA.xsd and schemaB.xsd.
    They have to be compiled in a same package.
    They can be imported (or included) in other schemas (that compiles in different packages).
    First I add the same inline annotation on both schemas:
    <xsd:annotation>
           <xsd:appinfo>
             <jxb:schemaBindings>
                   <jxb:package name="test.mypack"/>
             </jxb:schemaBindings>
           </xsd:appinfo>
    </xsd:annotation>If I compile (xjc) these 2 schemas individually there's no compilation error, but this is wrong because ObjectFactory is one (the last override the first one).
    Well, I compile both schemas together, but xjc show me this error:
    [ERROR] Multiple <schemaBindings> are defined for the target namespace ""
       line 12 of schemaA.xsd
    [ERROR] Another <schemaBindings> is defined here
       line 15 of schemaB.xsdWhy?
    SchemaBindings have scope Schema, not Namespace!
    If I delete the schemaBinding directive from one of the schemas and I compile schemas together, it works.
    It seems not a polished behaviour...
    I want each schema have its own life and indipendence. I could not comment a directive every time I have to use it in conjunction with another one.
    I'm using jaxb 1.0 (jwsdp11).
    Any help will be appretiate.
    Thanks

    http://forum.java.sun.com/thread.jsp?thread=393389&forum=34&message=1705571

  • OSB Transformation Support in Web Based Console

    Hello,
    I would like to map/transform from one format of XSD to another format of XSD.
    Should we proceed this way
    Step 1: Load both Source format (XSD) and Target Format (XSD) in weblogic workshop
    Step 2: Do the mapping
    Step 3 : Use the generated XSLT and load it as a resource in Osb web-based console.
    Is this the recommended approach ?
    Thanks

    *"Does anyone know how to do this successfully? "*
    You can't. That is not an option with OWA.

  • XQuery vs. OSB

    Hi everyone,
    am I the only one who gets the impression that the XQuery support in the OSB Workshop is extremely basic?
    I have two use cases that I can not really implement using the graphical designer: I convert from an instance of one XSD to another. I have a node which may appear any number of times (in the input document). The XQuery editor does not really recognize it (it states that it is exactly once) so when I map its children to another node in the target document (which may also appear any number of times) I do not get a cycle but rather a direct mapping.
    Another problem I have is with the following structure:
    tariff - exactly once
    tariff * - any times
    so something like:
    <tariff>
    <tariff>
    <id>1</id>
    </tariff>
    <tariff>
    <id>2</id>
    </tariff>
    </tariff>
    This results in the XQuery editor showing only the innermost tariff element (as exactly once) and nothing else!
    Can it be that such trivial use cases are not supported by the graphical editor? What other options of mapping data do I have? There is no graphical XSLT editor either so that does not seem to be an option.
    Best regards,
    Dimo

    Ok, I understand. Back to your original question ... I don't think there is anything better then XQuery editor. It's not bad if you want some quick transformation code you can build on further.
    For XSLT under Eclipse 3.5, I use Orangevolt XSLT plugin from http://eclipsexslt.sourceforge.net/update-site. It's not graphical, but very helpful for source editing. However, I'm not sure you can use it with Eclipse 3.3.2 (Workshop).

  • Stop xjc generating entire include file

    I have defined a whole bunch of elements in a schema (m_fields.xsd). Another schema (myclass.xsd) uses an <xsd:include> to include m_fields and points to some of its fields (using ref="m_fields fieldname").
    My problem is this : when I use xjc.bat to generate a class out of myclass.xsd it generates objects for ALL the fields defined in the included file m_fields.xsd. Is there a way to stop this happening ?

    So that means that if you're generating with JAXB you're more or less limited to "standalone" classes, each with a one on one relationship with a schema.
    If I'm right that's a pity; I'm generating data container objects out of schemas for a large organisation and many of the fields I'm using in these classes are common ones.
    Is there any other way around this or am I indeed limited to defining a set of types for each data container's schema, with all the repitition that involves? I hope I'm wrong :-)

Maybe you are looking for

  • Illustrator crashes on save and open

    I have Illustrator CS4 which was working perfectly for weeks then suddenly it wouldn't open a file and then crashed. Now it starts fine and I can work in it but as soon as I try to open an .eps or .pdf (or any file) it crashes. Also when just trying

  • How do I get my numbers spreadsheet to print as labels?

    I am trying to use my numbers data for labels and do not know how to do it?

  • Server0 getting stopped after system copy

    All,   We did a export/import process of the portal system from Dev system to Sandbox system. After which when i tried to start, dispatcher and SDM are running but server0 is getting stopped. Based on std_server0.out file, following is getting repeat

  • Single CAS NameSpace in Multi-Data Center Model With Exchange 2013

    Hi We are in process of transitioning from Exchange 2007 to Exchange 2013. Our Exchange 2007 infrastructure is as follows: 2 Data centers (DC 1 and DC 2). Both with active user population. Both have their own direct Internet Connectivity Standalone E

  • Font displaying wierdly!

    Changing to leopard, all my settings and preferences were imported. In nearly ALL of my programs, I set Verdana as the standard font for display. In a number,I am having a strange 'comic' style font display, most noticeably in browsers and google in