Sample Bin/Editor output

Hi there,
Anyone know how to change the output of the sample bin? I just imported a song from Logic 7 to Logic 8 and the sample bin/editor is outputing via track 32. I know I set it like that when working in Logic 7, but I want to change it now to monitor/output through master 1+2 only. Whenever I audition a sample from the loops browser I'm getting all the effects from my track 32.... Also, anyone know how to view/hide the 'solo' and 'freeze' track buttons on a channel in the new arrange page? I can't find that preference function in Logic 8? Use to be under 'View'. Things have changed.... like a lot of it. Love the new mixer setup and track create without having to assign it all... A little colour would have been nice though. Doesn't look as warm as Logic 7. Anyway, if anyone can help with my two problems, I'd really appreciate it.
Thanks,
Nick.

Ah, many thanks for that. Totally makes sense now. (The solo pref question) I'm using the old standard mouse, so right click wasn't happening as easily. Still can't resolve the samples bin auditioning through the effected track. I too a closer look and what's happening is.... It's playing through the last track in my project. Which is track 51. It has effects on it, its not going straight to track 256 as you mentioned. Now track 51 does have a line going across the solo button on the mixer page.... even though it's not solo'd. All in all I'm really liking Logic 8!
Thanks again for your help.
Nick.

Similar Messages

  • From the Aperture wedding samples: Completely unacceptable output vs ACR

    Besides the quirks, pauses, $400 to upgrade my video card(which ran fine with CS2/Bridge/ACR), oh and and twice a day crash, I reallly tried my best to make Aperture sing. However, the output is where the rubber meets the road. Only one word can describe the output from Aperture.
    Atrocious.
    To be fair, I took one of the D2X wedding sample NEFs from the Aperture install disk:
    http://homepage.mac.com/john.rayl/.Pictures/CHW_7533.NEF
    Took it into Aperture, only applied .5 sharpening(default) and exported it:
    http://homepage.mac.com/john.rayl/.Pictures/wedding-sample-aperture.jpg
    Took the same NEF into Bridge/ACR, here is the side car file of adjustments I made in a few keystrokes:
    http://homepage.mac.com/john.rayl/.Pictures/CHW_7533.xmp
    and the resulting image that not only save 4x faster than Aperture, but looks 4x better:
    http://homepage.mac.com/john.rayl/.Pictures/wedding-sample-acr.jpg
    I will stick with Aperture if anyone can get output from Aperture that looks as good or better than the one from Bridge/ACR.
    Otherwise I have a Radeon X 800 XT to return and a software app to eBay.
    Thanks for trying.
    My time on the Aperture beta team is over.

    I found a bit of time this afternoon, so here you go.
    1024 pixel high version:
    http://www.azurevision.co.uk/aperture/wedding-srgb-ijw-1024.jpg
    Full size version:
    http://www.azurevision.co.uk/aperture/wedding-srgb-ijw.jpg
    Aperture settings used:
    http://www.azurevision.co.uk/aperture/wedding-settings-ijw.jpg
    What you won't like is that I used a workaround - exported as 16-bit to a hot folder which uses an Automator action to change them to JPEG, which automatically drops the bit-depth to 8-bit. Obviously this isn't going to help for books or web gallerys.
    I was pleasantly surprised how little it added to the export time, about two seconds for the full size version.
    Ian

  • Increase sample rate analog output

    I have a PCI-6221.  I am trying to output an sine wave from my computer.  The voltage output changes only once every 1/10 sec.  I there a way to increase the frequency?  Attach is sample program and a resulting graphs.  
    Attachments:
    SINE_OUT.vi ‏368 KB
    Sine.JPG ‏29 KB
    Sine_1.JPG ‏25 KB

    You seem to have found one of the more convoluted methods of generating a sine wave. Have you looked at any of the example programs? Go to Help>Find Examples and for Hardware Input & Output>DAQmx>Analog Generation, look through some of them.
    You major problem is trying to generate it one point at a time with the single sample mode of DAQmx Write. Not at all sure why you are using the Elapsed Time function or the Mathscript. There are built-in functions (even an Express VI) to generate a sine wave.
    p.s. You have also posted to the wrong board. This has nothing to do with Counter/Timer hardware.

  • Need help in framing an SQL query - Sample data and output required is mentioned.

    Sample data :
    ID Region State
    1 a A1
    2 b A1
    3 c B1
    4 d B1
    Result should be :
    State Region1 Region2
    A1 a b
    B1 c d

    create table #t (id int, region char(1),state char(2))
    insert into #t values (1,'a','a1'),(2,'b','a1'),(3,'c','b1'),(4,'d','b1')
    select state,
     max(case when region in ('a','c') then region end) region1,
      max(case when region in ('b','d') then region end) region2
     from #t
     group by state
    Best Regards,Uri Dimant SQL Server MVP,
    http://sqlblog.com/blogs/uri_dimant/
    MS SQL optimization: MS SQL Development and Optimization
    MS SQL Consulting:
    Large scale of database and data cleansing
    Remote DBA Services:
    Improves MS SQL Database Performance
    SQL Server Integration Services:
    Business Intelligence

  • XI 3.0 SAMPLE Interactive Editor Integration Java Sample

    Hi
    I am trying to run XI 3.0 sampe for Interactive Editor Integration provided at resdk_java_ieditIntegr_sample.zip.
    When I try to login I am getting the following error:
    org.apache.jasper.JasperException: /viewers/cdz_adv/wistartpage.jsp(16,0) The value for the useBean class attribute com.businessobjects.adv_ivcdzview.Utils is invalid.
         org.apache.jasper.servlet.JspServletWrapper.handleJspException(JspServletWrapper.java:512)
         org.apache.jasper.servlet.JspServletWrapper.service(JspServletWrapper.java:377)
         org.apache.jasper.servlet.JspServlet.serviceJspFile(JspServlet.java:314)
         org.apache.jasper.servlet.JspServlet.service(JspServlet.java:264)
         javax.servlet.http.HttpServlet.service(HttpServlet.java:802)
    Can someone provide me help to resolve this problem.
    Thanks
    Venkata

    Hi Shawn,
    Copying the adv_ivcdzview.jar file from tomcat\webapp\AnalyticReporting\web-inf\lib resolved the issue for me.
    Thanks
    Venkata

  • EXS24 sampler Instrument Editor Help, Logic pro X

    When i open the EXS24 sampler in Logic pro X, the instrument edit tab is completely missing and won't open or respond at all. Can anyone offer assistance?

    Hi
    Make sure Preferences:Advanced:Show Advanced Tools is checked, and Additional Options:Audio
    CCT

  • Synchronize input and output tasks to start at the same sample point [C++ NI_DAQmx Base]

    I'm trying to initiate the analog input and output streams to start reliably at the same sample. I've tried triggering the output from the start of the input using the following code [NI-DAQmx Base 2.1 under Mac OS X with an M-Series multifunction board]. It compiles and runs, but gives an error message at the call to "DAQmxBaseCfgDigEdgeStartTrig". Any suggestions about synchronized I/O on this platform?
    #include "NIDAQmxBase.h"
    #include
    #include
    #include
    #define DAQmxErrorCheck( functionCall ) { if ( DAQmxFailed( error=( functionCall ) ) ) { goto Error; } }
    int main( int argc, char *argv[] )
    // Task parameters
    int32 error = 0;
    TaskHandle inputTaskHandle = 0;
    TaskHandle outputTaskHandle = 0;
    char errorString[ 2048 ] = {'\0'};
    int32 i;
    time_t startTime;
    // input channel parameters
    char inputChannelList[] = "Dev1/ai0, Dev1/ai1";
    float64 inputVoltageRangeMinimum = -10.0;
    float64 inputVoltageRangeMaximum = 10.0;
    // output channel parameters
    char outputChannelList[] = "Dev1/ao0, Dev1/ao1";
    char outputTrigger[] = "Dev1/ai/StartTrigger";
    float64 outputVoltageRangeMinimum = -10.0;
    float64 outputVoltageRangeMaximum = 10.0;
    // Timing parameters
    char clockSource[] = "OnboardClock";
    uInt64 samplesPerChannel = 100000;
    float64 sampleRate = 10000.0;
    // Input data parameters
    static const uInt32 inputBufferSize = 100;
    int16 inputData[ inputBufferSize * 2 ];
    int32 pointsToRead = inputBufferSize;
    int32 pointsRead;
    float64 timeout = 10.0;
    int32 totalRead = 0;
    // Output data parameters
    static const uInt32 outputBufferSize = 1000;
    float64 outputData[ outputBufferSize * 2 ];
    int32 pointsToWrite = outputBufferSize;
    int32 pointsWritten;
    for( int i = 0; i < outputBufferSize; i++ )
    outputData[ 2 * i ] = 9.95 * sin( 2.0 * 3.14159 * i / outputBufferSize );
    outputData[ 2 * i + 1 ] = -9.95 * sin( 2.0 * 3.14159 * i / outputBufferSize );
    // ------------------- configure input task -----------------------
    DAQmxErrorCheck ( DAQmxBaseCreateTask( "", &inputTaskHandle ) );
    printf( "Created input task\n" );
    DAQmxErrorCheck ( DAQmxBaseCreateAIVoltageChan( inputTaskHandle, inputChannelList, "", DAQmx_Val_RSE, inputVoltageRangeMinimum, inputVoltageRangeMaximum, DAQmx_Val_Volts, NULL ) );
    printf( "Created AI Voltage Chan\n" );
    DAQmxErrorCheck ( DAQmxBaseCfgSampClkTiming( inputTaskHandle, clockSource, sampleRate, DAQmx_Val_Rising, DAQmx_Val_ContSamps, samplesPerChannel ) );
    printf( "Set sample rate\n" );
    // ------------------- configure output task -----------------------
    DAQmxErrorCheck ( DAQmxBaseCreateTask( "", &outputTaskHandle ) );
    printf( "Created output task\n" );
    DAQmxErrorCheck ( DAQmxBaseCreateAOVoltageChan( outputTaskHandle, outputChannelList, "", outputVoltageRangeMinimum, outputVoltageRangeMaximum, DAQmx_Val_Volts, NULL ) );
    printf( "Created AO Voltage Chan OK\n" );
    DAQmxErrorCheck ( DAQmxBaseCfgSampClkTiming( outputTaskHandle, clockSource, sampleRate, DAQmx_Val_Rising, DAQmx_Val_ContSamps, samplesPerChannel ) );
    printf( "Set sample rate\n" );
    // trigger output when input starts
    DAQmxErrorCheck ( DAQmxBaseCfgDigEdgeStartTrig( outputTaskHandle, outputTrigger, DAQmx_Val_Rising ) );
    printf( "Set output trigger\n" );
    // ------------------- configuration -----------------------
    // write output signal
    DAQmxErrorCheck ( DAQmxBaseWriteAnalogF64( outputTaskHandle, pointsToWrite, 0, timeout, DAQmx_Val_GroupByScanNumber, outputData, &pointsWritten, NULL ) );
    printf( "Write output signal\n" );
    // set up input buffer
    DAQmxErrorCheck ( DAQmxBaseCfgInputBuffer( inputTaskHandle, 200000 ) ); // use a 100,000 sample DMA buffer
    // initiate acquisition - must start output task first
    DAQmxErrorCheck ( DAQmxBaseStartTask( outputTaskHandle ) );
    DAQmxErrorCheck ( DAQmxBaseStartTask( inputTaskHandle ) );
    // The loop will quit after 10 seconds
    Dr John Clements
    Lead Programmer
    AxoGraph Scientific

    Hi Michael,
    First of all, thanks very much for taking the time to investigate this problem! Much appreciated.
    You asked for "an actual error code you got and any description that is given". The full output from the program that I posted earlier in this thread is appended to the end of this message. In summary, following the call to...
    DAQmxErrorCheck ( DAQmxBaseCfgDigEdgeStartTrig( outputTaskHandle, outputTrigger, DAQmx_Val_Rising ) );
    ... with ...
    char outputTrigger[] = "Dev1/ai/StartTrigger";
    ...the error message is ...
    DAQmxBase Error: Specified route cannot be satisfied, because the hardware does not support it.
    You asked "specifically which M series device you are using"? It is the PCIe 6251 (with BNC 2111 connector block). I'm testing and developing on an Intel Mac Pro (dual boot OS X and Windows XP).
    You asked for "the location you pulled the code from". Here it is...
    http://zone.ni.com/devzone/cda/epd/p/id/879
    ...specifically from the file "Multi-Function-Synch AI-AO_Fn.c".
    I adapted the NI-DAQmx calls to their NI-DAQmx Base equivalents.
    Finally, you asked "Is the trigger necessary, or do you just need to know that the measurements are running on the same clock?". I believe that some kind of sychronized trigger is necessary in my situation (correct me if I'm wrong). Timing is crucial. Say I initiate an analog output stream that delivers a voltage command step 5 ms from the onset. I need to record the response (analog input stream) so that its onset is accurately aligned (synchronized) at 5 ms. A typical recording situation would stimulate and record a short data 'sweep', then wait for the (biological) system to recover, then stimulate and record another short sweep, and repeat. I need all the recorded sweeps to align accurately so that they can be averaged and analyzed conveniently.
    I definitely do not want my customers to rely on an expensive external TTL pulse generator to initiate and synchronize each 'sweep'. That would effectively eliminate the cost advantage of an NI board, as well as adding unnecessary complexity in setup and use. It would be a show-stopper for me.
    It seems perverse, but would it be possible to use a digital output channel connected directly to a digital input chanel to trigger the input and output streams?
    Regards,
    John.
    Full output from test program. Compiled with gcc 4 under OS X...
    [Session started at 2007-05-23 14:17:01 +1000.]
    LoadRuntime: MainBundle
    CFBundle 0x303cc0 (executable, loaded)
    _CompatibleWithLabVIEWVersion: linkedAgainst: 08208002
    _CompatibleWithLabVIEWVersion: result= false, mgErr= 1, theActualVersion= 00000000
    _CompatibleWithLabVIEWVersion: linkedAgainst: deadbeef
    _CompatibleWithLabVIEWVersion: Reseting Linked Against
    _CompatibleWithLabVIEWVersion: linkedAgainst: 08208002
    _CompatibleWithLabVIEWVersion: result= true, mgErr= 0, theActualVersion= 00000000
    _CompatibleWithLabVIEWVersion: linkedAgainst: 08208002
    _CompatibleWithLabVIEWVersion: result= true, mgErr= 0, theActualVersion= 00000000
    com.ni.LabVIEW.dll.nidaqmxbaselv
    CFBundle 0x313760 (framework, loaded)
    {type = 15, string = file://localhost/Library/Frameworks/nidaqmxbaselv.framework/, base = (null)}
    Amethyst:Library:Frameworks:nidaqmxbaselv.framework
    2007-05-23 14:17:02.248 test-ni[4445] CFLog (21): Error loading /Library/Frameworks/LabVIEW 8.2 Runtime.framework/resource/nitaglv.framework/nitaglv: error code 4, error number 0 (no suitable image found. Did find:
    /Library/Frameworks/LabVIEW 8.2 Runtime.framework/resource/nitaglv.framework/nitaglv: mach-o, but wrong architecture)
    CFBundle 0x1751fdc0 (framework, not loaded)
    Created input task
    Created AI Voltage Chan
    Set sample rate
    Created output task
    Created AO Voltage Chan OK
    Set sample rate
    DAQmxBase Error: Specified route cannot be satisfied, because the hardware does not support it.
    test-ni has exited with status 0.
    Dr John Clements
    Lead Programmer
    AxoGraph Scientific

  • Buffered analog output puts out additional sample on aborting or stopping task

    I'm using DAQmx and LV 8.2
    I'm doing a buffered analog output operation where the sample clock is driven by pulses from ctr0 on the same device (PXI-6070E).  When I end the analog output task, either with the DAQmx stop task or DAQmx control task (abort option selected), the AO puts out one additional point from the buffer.  (I have checked by setting breakpoints and stepping through the code that the additional point is definitely generated when the analog ouput task is aborted)
    I need the output to remain where it was before the stop task command is issued.  How do I fix this?
    Thanks,
    Marc

    I'm watching to see if there hasn't been a sample output in a certain length of time, then terminating the task if there hasn't been.  Specifically, I have ctr0 outputting pulses to drive the task based on input from the AnalogComparisonEvent terminal.  I'm using a counter on a different PXI device to count the number of pulses and monitoring this count to determine if another sample has been output.  I'm outputting the ctr0 pulse to PFI3 as well, and I'm monitoring both the ctr0 output (which drives the ao clock) and the analog output itself on an oscilloscope.
    Basically I'm sitting in a while loop waiting for the monitoring counter to fail to increment.  Then I terminate the while loop and stop the analog output task.  I can watch the analog output on the oscilloscope while I step through the program.  Immediately before the daqmx control task - abort (or daqmx stop if I don't abort first, or daqmx clear, if I don't abort or stop) vi runs, the analog output remains outputting the last sample.  Immediately after the abort, stop, or clear, the analog output advances one sample in the buffer. 
    During this time, ctr0 does not output another pulse, so the scan clock should not advance.
    Thanks,
    Marc

  • Flex Builder 3 and Employee Directory Sample App

    Ok, please be paitent with me.  Just starting out with Flex Builder 3 and Flash Builder 4.
    I have installed Flex Builder 3 and imported the Employee Directory application sample, but I cannot get it to run, nor debug.
    I'm on a Mac Pro and I have not done any other configuration to the Flex Builder 3 installation, yet.
    In order to get the Employee Directory app to run, can someone give me some assistance as to what I need to do in order to get it running within the development environment.
    Any assistance will be greatly appreciated.
    Regards,
    Jeff

    No errors, it just will not run.
    I loaded the employeedirectory.mxml into the editor and simply tried to run it.  The Eclipse editor / employeedirectory canvas blinks, but doesn't execute.
    In addition, when I set a breakpoint and try to debug, I get the following error:
    Process terminated without establishing connection to debugger.
    Command:
    "/Applications/Adobe Flex Builder 3/sdks/3.2.0/bin/adl" -runtime "/Applications/Adobe Flex Builder 3/sdks/3.2.0/runtimes/air/mac" "/Users/Jeff/Documents/Flex Builder 3/employeedirectory/bin-debug/employeedirectory-app.xml" "/Users/Jeff/Documents/Flex Builder 3/employeedirectory/bin-debug"
    Output from command:
    error while loading initial content
    Thanks for the reply...  hopefully it's a simple configuration issue.
    Update:  I created a blank application with no control, notta and it ran fine, i.e. loaded up into Firefox with a blank canvas.

  • Bin, cores, usr..... ect are shown in my drive... why?

    hi
    i'm having a really big problem with my macbook....??
    so many files are shown in my hard drive like (bin, cores, usr..... ect)????
    before it wasn't shown, after i erase my drive and installed the new mac ox 10.5 it's shown, and it's really complicated know when i enter to my hard drive search for the application folder between alot of system files i think.
    please is there anyway to hide these unwanted folders?

    Hi Steve, I hadn't had occasion to try the .hidden file in Leopard, so I just now used it to hide my Developer folder...sure enough, the Finder will still read a .hidden file. Imagine that!
    However, Apple seems to once again have changed its methodology for hiding such items from the GUI. The New Official Method is to set the invisible flag for most of the items. To see if the flag has been set you use a list all long form, with a capital letter O (which adds the flag in the output):
    NoobiX:~ francine$ ls -alO /
    Hit the return key. Here's a sample of the output you should see:
    -rw------- 1 root wheel hidden 65536 Nov 8 00:11 .hotfiles.btree
    drwxr-xr-x@ 2 root wheel hidden 68 Sep 24 00:08 .vol
    drwxrwxr-x@ 83 root admin - 2822 Feb 4 00:12 Applications
    -rw-r--r--@ 1 root admin hidden 57344 Feb 2 12:55 Desktop DB
    -rw-r--r--@ 1 root admin hidden 2 Nov 8 01:58 Desktop DF
    drwxrwxr-t@ 58 root admin - 1972 Jan 31 00:13 Library
    drwxr-xr-x@ 2 root wheel hidden 68 Sep 23 14:37 Network
    drwxr-xr-x@ 5 root wheel - 170 Nov 15 15:46 System
    drwxr-xr-x@ 7 root admin - 238 Jan 26 15:01 Users
    drwxrwxrwt@ 7 root admin hidden 238 Feb 8 11:31 Volumes
    drwxr-xr-x@ 40 root wheel hidden 1360 Nov 8 00:00 bin
    The things that you shouldn't see have the hidden flag. You can add the hidden flag with this Terminal command if it is missing:
    NoobiX:~ francine$ sudo chflags hidden /bin
    You'll be asked for your admin password, which is not echoed to the screen in any way whatsoever, so type carefully! Hit the return key again. After you have issued the chflags command for each item you wish to be hidden you can relaunch the Finder.
    Francine
    Francine
    Schwieder

  • Output to a file in XOR example NN???

    I have trained the XOR neural network. But when i output the result to a file, it shows nothing. Any problems with my code?
    import org.joone.engine.*;
    import org.joone.io.*;
    import org.joone.engine.learning.*;
    import org.joone.net.*;
    import java.io.*;
    public class XOR implements NeuralNetListener, Serializable{
         LinearLayer input = new LinearLayer();
         SigmoidLayer hidden = new SigmoidLayer();
         SigmoidLayer output = new SigmoidLayer();
         public static void main (String args[]){
              XOR a = new XOR();
              a.go();
         public void go(){
              input.setRows(2);
              hidden.setRows(3);
              output.setRows(1);
              FullSynapse synapse_IH = new FullSynapse();
              FullSynapse synapse_HO = new FullSynapse();
              input.addOutputSynapse(synapse_IH);
              hidden.addInputSynapse(synapse_IH);          
              hidden.addOutputSynapse(synapse_HO);
              output.addInputSynapse(synapse_HO);
              FileInputSynapse inputStream = new FileInputSynapse();
              inputStream.setAdvancedColumnSelector("1,2");
              inputStream.setFileName("C:\\xor.txt");          
              input.addInputSynapse(inputStream);
              TeachingSynapse trainer = new TeachingSynapse();          
              FileInputSynapse samples = new FileInputSynapse();
              samples.setFileName("C:\\xor.txt");
              trainer.setDesired(samples);
              samples.setAdvancedColumnSelector("3");          
              output.addOutputSynapse(trainer);
              NeuralNet nnet = new NeuralNet();
              nnet.addLayer (input, NeuralNet.INPUT_LAYER);
              nnet.addLayer (hidden, NeuralNet.HIDDEN_LAYER);
              nnet.addLayer (output, NeuralNet.OUTPUT_LAYER);
              nnet.setTeacher (trainer);
              Monitor monitor = nnet.getMonitor ();
              monitor.setLearningRate (0.8);
              monitor.setMomentum (0.3);
              monitor.setTrainingPatterns(4);
              monitor.setTotCicles(20000);
              monitor.setLearning(true);
              monitor.addNeuralNetListener (this);
              nnet.start ();
              nnet.getMonitor ().Go();
              saveNeuralNet("C:\\xorNet.snet", nnet);
              NeuralNet xorNNet = this.restoreNeuralNet("C:\\xorNet.snet");
              if(xorNNet != null){
                   Layer output1 = xorNNet.getOutputLayer ();
                   FileOutputSynapse fileOutput = new FileOutputSynapse();
                   fileOutput.setFileName ("C:\\xor_out.txt");
                   output1.addOutputSynapse (fileOutput);
                   xorNNet.getMonitor ().setTotCicles(1);
                   xorNNet.getMonitor ().setLearning (false);
                   xorNNet.getMonitor ().Go();
         public void netStarted(NeuralNetEvent e)
         public void errorChanged(NeuralNetEvent e)
         public void netStoppedError(NeuralNetEvent e, String s)
         public void netStopped(NeuralNetEvent e){
              System.out.println("Training Finished");
              System.exit(0);
         public void cicleTerminated(NeuralNetEvent e){
              Monitor mon = (Monitor)e.getSource();
              long c = mon.getCurrentCicle();
              long c1 = c/1000;
              if((c1*1000)==c)
                   System.out.println (c + " cycles remaining - Error = " + mon.getGlobalError());
         public void saveNeuralNet(String fileName){
              try{
                   FileOutputStream stream = new FileOutputStream(fileName);
                   ObjectOutputStream out = new ObjectOutputStream(stream);
                   out.writeObject(input);
                   out.writeObject(hidden);
                   out.writeObject(output);
                   out.close();
              catch(Exception exp){
                   exp.printStackTrace();
         public void restoreNeuralNet(String fileName){
              try{
                   FileInputStream stream = new FileInputStream(fileName);
                   ObjectInputStream inp = new ObjectInputStream(stream);
                   Layer input = (Layer)inp.readObject();
                   Layer hidden = (Layer)inp.readObject();
                   Layer output = (Layer)inp.readObject();
              catch(Exception exp){
                   exp.printStackTrace();
              Monitor monitor = input.getMonitor();
              monitor.addNeuralNetListener (this);
              input.start();
              hidden.start();
              output.start();
              monitor.Go();
         public void saveNeuralNet(String fileName, NeuralNet nnet){
              try{
                   FileOutputStream stream = new FileOutputStream(fileName);
                   ObjectOutputStream out = new ObjectOutputStream(stream);
                   out.writeObject(nnet);
                   out.close();
              catch(Exception exp){
                   exp.printStackTrace();
         NeuralNet restoreNeuralNet(String fileName){
              NeuralNet nnet = null;
              try{
                   FileInputStream stream = new FileInputStream(fileName);
                   ObjectInputStream inp = new ObjectInputStream(stream);
                   nnet = (NeuralNet)inp.readObject();
              catch(Exception exp){
                   exp.printStackTrace();
              return nnet;
    }

    anyone have any idea???
    Thanks in advance.

  • Availability of Standard Physical Sample Report

    QPR3 Tcode provides Physical Sample details for only one Inspection Lot at a time
    Instead I need below details
    Input Data :
    Material                From     To
    Batch               From     To
    Plant               From     To
    Inspection Lot          From     To
    Physical Sample Number     From     To
    Sample-Drawing Number     From     To
    Sample Category          From     To
    System status          From     To     (Internal Status of Physical-Sample Record)
    Physical Sample Release Date     From     To
    QM mat. auth.          From     To
    Creation Date of Physic Sample     From     To
    Output :
    1) Material No
    2) Material name
    3) Batch
    4) Inspection Lot
    5) Physical Sample No
    6) Plant
    7) Sample Category
    8) Status (Internal Status of Physical-Sample Record)
    9) Vendor ( No & Name )
    10) Manufacturer ( No & Name )
    12) Drawing number
    13) Created by
    14) Creation Date of Physical Sample
    15) Released By
    16) Physical Sample Release Date
    17) Time of Physical Sample Release
    18) Sample Quantity
    19) Unit of Measure for Physical Sample Size
    20) Sample-Drawing Procedure
    21) QM mat. auth.
    22) Insp. Lot Qty
    23) SampleSize
    24) No. Containers
    25) Lot Cntnr.
    26) Group
    27) Group Counter
    28) System Status ( Inspection Lot )
    Is there any provision for accessing same above details via SAP Standard Report
    Kunal Sheth

    Get Solved

  • External Sample Clock timeout

    Hi,
    I'm attempting to program up a Met One 010c cup anemometer which sends out 11V pulses whose frequency correlates to wind speed. I've written the following program Met One 010c-3b.vi which works when there's no sample clock and outputs data to the graph and to the write measurement to file VI. 
    However the timestamp on the file only occurs intermittently (every 130 recorded samples if i recall correctly)  and i've been advised to put a sample clock in between the create virtual channel and start VI to make the timestamp occur every sample. However doing this i get errors that first tell me I have to use an external clock, making the clock external then gives the timeout error 200284 after the read function. I expect this is because the wrong source has been selected for the external clock on the timing function.
    I don't fully understand how the external clock works and i assume i need to set up which ever port i select as an external clock?
    I have read through the following
    http://digital.ni.com/public.nsf/allkb/FEF778AD990D5BD886256DD700770103
               -> how do i  verify that the start trigger is configured correctly? (i know my program doesn't have one)
               -> how do i verify the external timing is configured correctly?
    http://zone.ni.com/devzone/cda/tut/p/id/2835
    http://zone.ni.com/devzone/cda/tut/p/id/4322
    I tried to implement some of the diagrams indicated in the last link (eg Figure 2 & 3) but when i went to select the source for the analogue output I was given no available options. I have the NI 9205,9213,9403,9423,9215 sitting on a cDAQ 9178, so none of these do analogue output. Does this mean i can't use an external clock? 
    Thanks for the help, i know this is a simple question but i'm getting nowhere fast.
    Kind Regards
    Orfeo
    Attachments:
    Met One 010c-3b.vi ‏90 KB

    Thanks for your help Courtney,
    I had a look at the Write to Text File.Vi you suggested and i tried to implement it in my program. It appears to be almost working. The formatting of the strings is stuffed up so that i'm getting an ever increasing number of columns in my data (see attached text file). Can you advise me where i've gone wrong?
    An example of the file is below
    24/11/2011 11:21:54 AM     2.32     2.14
     2.62     2.11
     1.81     1.49
    24/11/2011 11:21:54 AM     2.36     2.32     2.14
     2.62     2.62     2.11
     1.82     1.81     1.49
    24/11/2011 11:21:54 AM     2.40     2.36     2.32     2.14
     2.59     2.62     2.62     2.11
     1.76     1.82     1.81     1.49
    24/11/2011 11:21:54 AM     2.43     2.40     2.36     2.32     2.14
     2.55     2.59     2.62     2.62     2.11
     1.73     1.76     1.82     1.81     1.49
    24/11/2011 11:21:54 AM     2.53     2.43     2.40     2.36     2.32     2.14
     2.48     2.55     2.59     2.62     2.62     2.11
     1.69     1.73     1.76     1.82     1.81     1.49
    I assume i've just not got my tab delimintors in the right place? or perhaps i need end of line qualifiers?
    I would also like to have the timestamp more accurate, down to the milisecond. I see the format time string VI has an option to format the string %<digit>u which should do this but it appears to just paste the <digit>u in the string. We are wanting to sample data at 10-20 Hz and record the time of the samples. For one instrument these samples will be done simultaneously.
    Thanks again
    orfeo
    Attachments:
    Met One 010c-3c.vi ‏42 KB
    TextFile.txt ‏550 KB

  • Generated Pulse waveform is distorted when I deliver the signal to the output port in the DAQmx

    Problem: Generated Pulse waveform is distorted when I deliver the signal to the output port in the DAQmx.
    Environment: Windows XP sp3 (32bit), Visual Studio 2010 sp1, NI-Measurement Studio 2010
    Device: NI - DAQmx PCI 6251
     Analog Input: 1.00MS/s multi-channel (aggregate)
     Analog Output: 2 Channel 2.00MS/s
    Reference Example: AO_ContGenVoltageWfm_IntClk / AI_ContAcqVoltageSamples_IntClk
    Generated Pulse:
    1) AO0 = Square Waveform /0-5V / 8KHz / 0.5㎲/sample / sample 50% Duty
    2) AO1 = Square Waveform /0-5V / 8KHz / 0.5㎲/sample / (Reverse Image)
    Description: I’d like to deliver the waveform stream satisfied with specified constraints to the 2 channel output port in the DAQmx. To verify accuracy of the generated waveform, I did an electrical wiring from the Analog output channel (2 channels) to the Analog Input channel (2 channels) in DAQmx. As a result of this experiment, I could get a result which has signal distortion. Since the waveform has to satisfy with both high frequency (8KHz) and very short moment interval time (△t = 0.5㎲/sample) between samples, I cannot handle some parameters of the function in the referenced VC++ example. Following formulas shows an approach to deliver the generate pulse waveform to output port satisfied with constraints.
    Analog Output Channel
     Frequency = 8,000 cycles/sec (constraint)
     Sample per Buffer = 2,000,000 = 2*106 samples/buffer
     Cycles per Buffer = 80,000 cycles/buffer
     Sample per Channel = 1,000,000 = 1*106 samples/channel
     Sample Rate  = Frequency * (Sample per Buffer / Cycle per Buffer)
                              = 8,000 * (2*106 / 80,000) = 2*106 samples / sec
     △t  = 1 sec / 2*106 samples / sec
               = 0.5 * 10-6 sec/sample (constraint)
     Buffer Cycle  = Sample Rate / Sample per Channel
                              = (2*106 samples / sec) / (1*106 samples/channel)
                              = 2 channel / sec
    Analog Input Channel
    Sample per Channel = 1,000,000 = 1*106 samples/channel
     Sample Rate  = 1 MS/s * (2 Channel) = 5 * 105 Samples / Sec
    Program Code
    AO_ContGenVoltageWfm_IntClk / AI_ContAcqVoltageSamples_IntClk (VC++ Example)
    Result: The proposed approach was implemented in the experiment environment (VS2010, MStudio2010). As shown in Figure 1, we could get the unsatisfied result. Although I intended to make a ‘square’ pulse wave, the result looks like ‘trapezoid’ pulse wave (Figure.1). However, there is other result which was undertaken with different parameter condition. It looks like the square shape not the trapezoid shape.
    Please let me know what the conditions make the problem of signal distortion. (AO0 = Green line / AO1 = Red line)
    [Figure. 1] Frequency 8000 Hz / Cycle per Buffer = 8000 Result
    [Figure. 2] Frequency 1000 Hz / Cycle per Buffer = 1000 Result
    Questions: Please let me know following questions.
    1) Is it possible to deliver the generated pulse wave satisfied with constraints (f= 8KHz), △t = 0.5㎲/sample) to the output port without distortion using PXI 6251?
    (Is it possible to solve the problem, if I use the LabView or MAX?)
    2) Are there some mistakes in the proposed approach? (H/W or S/W program)
    3) What is the meaning of the Cycle per Buffer?, It could effect to the result?

    Hi Brett Burger,
    Thanks for your reply. For your information, I have set the sampling rate as 10000 as for the sound format, I have set the bits per sample as 16 bit, the rate as 11025 and the sound quality as mono. I tried using your method by changing the sampling rate as 8K but still my program encounter the same problem.
    I wish to also create a button that is able to generate a preformatted report that contains VI documentation, data the VI returns, and report properties, such as the author, company, and number of pages only when I click on the button.  I have created this in my program, but I am not sure why is it not working. Can you help troubleshoot my program. Or do you have any samples to provide me. Hope to hear from you soon.
    Many thanks.
    Regards,
    min
    Attachments:
    Heart Sounds1.vi ‏971 KB

  • Directing fsck output to syslog and screen in real-time

    Hello,
    I have the following under Debian 6. The procedure should check and automatically repair the file system and write the result to the user's screen and also to syslog.
    #!/bin/bash
    output=`fsck -y /dev/disk/by-label/oracle-xe; retval=$?`
    logger -is "$output"The procedure seems to work, but I don't see the output until the fsck command has completed. Is there a possibility to write the output to syslog while presenting the fsck output in real-time?
    Kind regards.
    Edited by: Dude on Jan 30, 2012 6:44 PM

    I make some progress:
    outfile=/tmp/`date +%N`
    retfile=/tmp/`date +%N`
    (fsck -y /dev/disk/by-label/oracle-xee; echo $? > $retfile) | tee $outfile
    logger -i < $outfile
    retval=`cat $retfile`
    rm $outfile
    rm $retfileThe above gives me the results I was looking for
    I also tried
    logger -is "`fsck -y /dev/disk/by-label/oracle-xee`"But it the syslog output in this case is just one long line of text without any newlines
    If there is a better way, please let me know.
    Edited by: Dude on Jan 30, 2012 6:57 PM

Maybe you are looking for