Sending an Image file via UART

Hi All,
1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
Meganadhan
 

thanks ignacio
i will try it letter
but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
 and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
RANDOM BIT
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity random is
generic (
g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
Port ( clk :in STD_LOGIC;
output : out STD_LOGIC);
end random;
architecture Behavioral of random is
signal counter: integer:=0;
begin
process (clk)
begin
if rising_edge(clk) then
counter <= counter+1;
if counter= (g_CLKS_PER_BIT-1) then
output <= '1';
elsif counter=55 then
output <= '0';
elsif counter=65 then
output <= '0';
elsif counter=75 then
output <= '0';
elsif counter=85 then
output <= '1';
elsif counter=95 then
output <= '0';
elsif counter=105 then
output <= '0';
elsif counter=115 then
output <= '0';
--elsif counter =1 then
counter <= 0;
end if;
end if;
end process;
end Behavioral;
----------------------------------------------------------------------UART RX
-- File Downloaded from http://www.nandland.com
-- This file contains the UART Receiver. This receiver is able to
-- receive 8 bits of serial data, one start bit, one stop bit,
-- and no parity bit. When receive is complete o_rx_dv will be
-- driven high for one clock cycle.
-- Set Generic g_CLKS_PER_BIT as follows:
-- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
-- Example: 10 MHz Clock, 115200 baud UART
-- (10000000)/(115200) = 87
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
entity receive is
generic (
g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
port (
i_clk : in std_logic;
i_rx_serial : in std_logic;
o_rx_dv : out std_logic;
o_rx_byte : out std_logic_vector(7 downto 0)
end receive;
architecture rtl of receive is
type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
s_RX_STOP_BIT, s_CLEANUP);
signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
signal r_RX_DATA_R : std_logic := '0';
signal r_RX_DATA &colon; std_logic := '0';
signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
signal r_RX_DV : std_logic := '0';
begin
-- Purpose: Double-register the incoming data.
-- This allows it to be used in the UART RX Clock Domain.
-- (It removes problems caused by metastabiliy)
p_SAMPLE : process (i_clk)
begin
if rising_edge(i_clk) then
r_RX_DATA_R <= i_rx_serial;
r_RX_DATA <= r_RX_DATA_R;
end if;
end process p_SAMPLE;
-- Purpose: Control RX state machine
p_UART_RX : process (i_clk)
begin
if rising_edge(i_clk) then
case r_SM_MAIN is
when s_IDLE =>
r_RX_DV <= '0';
r_CLK_COUNT <= 0;
r_BIT_INDEX <= 0;
if r_RX_DATA = '0' then -- Start bit detected
r_SM_MAIN <= s_RX_START_BIT;
else
r_SM_MAIN <= s_IDLE;
end if;
-- Check middle of start bit to make sure it's still low
when s_RX_START_BIT =>
if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
if r_RX_DATA = '0' then
r_CLK_COUNT <= 0; -- reset counter since we found the middle
r_SM_MAIN <= s_RX_DATA_BITS;
else
r_SM_MAIN <= s_IDLE;
end if;
else
r_CLK_COUNT <= r_CLK_COUNT + 1;
r_SM_MAIN <= s_RX_START_BIT;
end if;
-- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
when s_RX_DATA_BITS =>
if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
r_CLK_COUNT <= r_CLK_COUNT + 1;
r_SM_MAIN <= s_RX_DATA_BITS;
else
r_CLK_COUNT <= 0;
r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
-- Check if we have sent out all bits
if r_BIT_INDEX < 7 then
r_BIT_INDEX <= r_BIT_INDEX + 1;
r_SM_MAIN <= s_RX_DATA_BITS;
else
r_BIT_INDEX <= 0;
r_SM_MAIN <= s_RX_STOP_BIT;
end if;
end if;
-- Receive Stop bit. Stop bit = 1
when s_RX_STOP_BIT =>
-- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
r_CLK_COUNT <= r_CLK_COUNT + 1;
r_SM_MAIN <= s_RX_STOP_BIT;
else
r_RX_DV <= '1';
r_CLK_COUNT <= 0;
r_SM_MAIN <= s_CLEANUP;
end if;
-- Stay here 1 clock
when s_CLEANUP =>
r_SM_MAIN <= s_IDLE;
r_RX_DV <= '0';
when others =>
r_SM_MAIN <= s_IDLE;
end case;
end if;
end process p_UART_RX;
o_rx_dv <= r_RX_DV;
o_rx_byte <= r_RX_BYTE;
end rtl;
TOP
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity top is
port(cl_k: in std_logic
end top;
architecture Behavioral of top is
--component random
component random is
generic (
g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
Port ( clk :in STD_LOGIC;
output : out STD_LOGIC
end component;
--component uart rx
component receive is
generic (
g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
port (
i_clk : in std_logic;
i_rx_serial : in std_logic;
o_rx_dv : out std_logic;
o_rx_byte : out std_logic_vector(7 downto 0)
end component;
--signal
signal mlebu:std_logic;
signal enabl:std_logic;
signal metu :std_logic_vector (7 downto 0);
begin
a:random port map( output=>mlebu,
clk=>cl_k
b:receive port map( i_clk=>cl_k,
i_rx_serial=>mlebu,
o_rx_dv=>enabl,
o_rx_byte=>metu
end Behavioral;
regards
halim

Similar Messages

  • Sending an Image file to a client using UDP

    I wants to send an Image file from the client to the server using UDP. As UDP can only sends data of type byte, how can i convert the Image file to byte & send it. Here is the Client program:
    import java.io.*;
    import java.net.*;
    import java.util.*;
    import java.awt.*;
    public class Client {
    public static void main(String[] args) throws IOException {
    if (args.length != 1) {
    System.out.println("Usage: java Client <hostname>");
    return;
    BufferedReader br = new BufferedReader(new InputStreamReader(System.in));
    String str = br.readLine();
    br.close();
    // get a datagram socket
    DatagramSocket socket = new DatagramSocket();
    // send request
    byte[] buf = new byte[65500];
    buf = str1.getBytes();
    InetAddress address = InetAddress.getByName(args[0]);
    DatagramPacket packet = new DatagramPacket(buf, buf.length, address, 4445);
    socket.send(packet);
    // get response
    packet = new DatagramPacket(buf, buf.length);
    socket.receive(packet);
    // display response
    String received = new String(packet.getData());
    System.out.println("Received: " + received);
    socket.close();
    Thanx.

    DataInputStream inStream = new DataInputStream(new FileInputStream(filename));
    try {
    byte bindata = inStream.readByte();
    catch (EOFException eof) {
    }

  • How do i send or receive files via bluetooth on my iphone 4

    I cannot send or receive files via bluetooth on my new iphone 4

    That's because file transfer by bluetooth is not supported by the iPhone. These are the only supported bluetooth profiles:
    http://support.apple.com/kb/HT3647

  • By using XI shall we send any image files ?

    By using XI shall we send any image files ?

    Hi,
    Its possible to send the image file with XI
    please find here with you the link for step by step procedure
    Sending an Image File Through Exchange Infrastructure in a File-to-Mail Scenario
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/6d967fbc-0a01-0010-4fb4-91c6d38c5816
    Exchange Infrastructure Binary Conversion Simplified: A Step-by-Step Image File to Image File Mapping and Conversion Using Java Mapping
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/10dd67dd-a42b-2a10-2785-91c40ee56c0b
    Thanks
    Swarup

  • How to send a pdf file via http call

    Hi Experts,
    Please try to think on how you would send a file like a pdf file via the http call. You might need to convert the pdf in a character string which can be sent via http. The character string then might need to  get converted back into a pdf and saved in a file. Read through the Archive Link API guide to see how they send the body of a file.
    Please it is urgent......
    Thanks
    Basu

    so you want to push the PDF file over http to external system.
    where is pdf file stored.
    for examle if its in the clients desktop, you can use gui_upload to upload to internal tabble (type BIN) then use FM SCMS_BINARY_TO_XSTRING to conver the binary table to type string.
    then use cl_http_client class to push the file to the destination.

  • Can't send Excel 2007 File via Send To Email Option after Acrobat Install

    Normally, you can send an Excel 2007 file via email to someone by going to the Send option on the Excel file menu and choosing "Email." This will email an actual copy of the Excel 2007 file. However, since installing Adobe Acrobat  9 pro on my computer, this option, as well as the option to send to XPS are gone -- the only email option I have on that menu is to convert the file to a PDF and email it.
    It seems to me that Acrobat should ADD options to menus but not remove any! Does anyone know how I can get those missing options (especially the one to email the actual file itself) back? I've tried Googling up a solution, but to no avail so far.
    Thanks, in advance, for any help!
    Laura

    Thanks for taking the time to respond, Aditya!
    I saw that MS support article when I was trying to solve this issue on my own, but the solutions it proposes won't work for me -- I can't set Outlook as my email client (this is a work computer and we use Lotus Notes) and I can't muck around in the registry (again, this is a work computer). I know I can attach the Excel via the attach command in the email client, but the main thing is I don't understand why Acrobat has removed that option from the Excel menu. Also, it removed the Send to XPS option (which I don't really care about, but it bothers me because it shouldn't be deleting anything)!
    I even tried adding the Email command to the Quick Access Toolbar in Excel -- it let me add the button, but, when I click it, absolutely nothing happens.

  • Can't send any image files

    I'm having an issue sending image files. I can send anything else just fine, but when i try to send anyone (a group or just one person) a picture file of any kind, it shows up exactly like the picture I've included, and the other people or person dont see that i've tried to send anything at all. We have have each other added as contacts, and we're all online when i go to do it. Everyone else can send me pictures, but i cant send them out. I also cant change any group display pictures at all, even though I'm an admin of the groups and have permissions to do so. This has been going on for about a week now. I have tried uninstalling and re-installing skype. I've tried deleting the shared.xml file. I've tried asking skype to check for updates. My internet explorer is up to date. Note in the image, the small 'not delivered' loading icon that appears against my contact list pane, in a very strange place for it to be at all. I tried doing skype chat support, and the agent i connected to accessed my computer remotely, and ended up having connection issues, and asked me to do the majority of the work before i finally gave up and closed out of the session, because they didn't know what else to try. 
    If anyone has any advice, please let me know. 
    Solved!
    Go to Solution.
    Attachments:
    fuck iT.JPG ‏23 KB

    Reset all Internet Explorer settings:
    http://support.microsoft.com/kb/923737
    Next reset LAN settings:
    Open Internet Explorer. Go to Tools -> Internet Options -> Connections -> LAN settings. Make sure that the only option selected is “Automatically detect settings”.
    Next clear all Temporary Internet Files:
    Open Internet Explorer -> Tools -> Internet Options -> General. In the section “Browsing history” press the “Settings” button and in the next window the “View files” button. Delete all files from the Temporary Internet Files folder.
    Reboot your computer and test now what happens when you open this link in your Internet Explorer.
    https://api.asm.skype.com/s/i

  • Transfer image files via RFC.

    Hi Gurus,
    I have 2 independent ERP systems A and B, they're connected via RFC, in system A there're some (.bmp) images uploaded via transaction SE78.
    My question is, is it possible to transfer image files from system A to system B via RFC? It it's, how?
    Best regards,
    Jack

    Can you please share the solution how did you achieve it?
    Thanks,
    Bhavik

  • Nokia N8 - Sending large video files via email pro...

    Hello, When I try to send a pretty large video file via email on my N8 I get the following error "Memory low. Delete or move some data from C: Phone memory." However my videos are stored on my memory card which has plenty of space so I am confused and not sure what to do
    I hope someone can help me on this, any help is greatly appreciated.
    Thank You.

     My best guess would be that the N8 temporarily caches the video to C: memory when sending via Email and therefore the file size exceeds the available free memory on C:.
     I'm not sure the email server will allow the sending of files larger than a certain limit anyway, so you may be out of luck if you want to send any videos via email.
    Ray

  • How can I send a PDF file via my yahoo or google email programs?

    I just downloaded some photos from a friend's camera, & I want to send copies via email. I created a PDF file, but can't figure out how to email the file out (it only offers to send via Windows Mail-which I don't have). Do I need to create a different kind of file for the pix, or do I just need to send one at a time?
    == This happened ==
    Not sure how often
    == I tried to attach the PDF file to an email to send via yahoo

    Use the free Adobe Reader for iPhone -> https://itunes.apple.com/us/app/adobe-reader/id469337564?mt=8.
    Clinton

  • How to send an XML file via HTTP_POST

    I have an ABAP program that creates an XML file and I need to somehow post this XML file to a webserver and read the HTTP Response that it sends back.  I see that there is a function module called HTTP_POST but I've never used it before.  I also see that there are a number of ABAP Objects related to HTTP.  Has anyone done this before. 
    I'm on SAP 4.7 (6.20)
    Thank you,

    I went to www.fedex.com and exported their SSL cert.  I then imported it into SAP via STRUST.  However, I still get the same http_communication_error.  The XML needs to be posted to this address:  'https://gatewaybeta.fedex.com:443/GatewayDC'
    Here is my code:
    Note: wf_string contains the XML
    CALL METHOD cl_http_client=>create
      EXPORTING
        host          = 'https://gatewaybeta.fedex.com'
        service       = '443'
        scheme        = '2'
       proxy_host    =  wf_proxy
       proxy_service =  wf_port
      IMPORTING
        client        = http_client.
    http_client->propertytype_logon_popup = http_client->co_disabled.
    wf_user = '' .
    wf_password = '' .
    proxy server authentication
    CALL METHOD http_client->authenticate
      EXPORTING
        proxy_authentication = 'X'
        username             = wf_user
        password             = wf_password.
    CALL METHOD http_client->request->set_header_field
      EXPORTING
        name  = '~request_method'
        value = 'POST'.
    CALL METHOD http_client->request->set_header_field
      EXPORTING
        name  = '~server_protocol'
        value = 'HTTP/1.1'.
    CALL METHOD http_client->request->set_header_field
      EXPORTING
        name  = '~request_uri'
        value = 'https://gatewaybeta.fedex.com:443/GatewayDC'.
    CALL METHOD http_client->request->set_header_field
      EXPORTING
        name  = 'Content-Type'
        value = 'text/xml; charset=utf-8'.
    CALL METHOD http_client->request->set_header_field
      EXPORTING
        name  = 'Content-Length'
        value = txlen.
    *CALL METHOD http_client->request->set_header_field
    EXPORTING
       name  = 'SOAPAction'
       value = 'https://gatewaybeta.fedex.com:443/GatewayDC'
    CALL METHOD http_client->request->set_cdata
      EXPORTING
        data   = wf_string
        offset = 0
        length = rlength.
    CALL METHOD http_client->send
      EXCEPTIONS
        http_communication_failure = 1
        http_invalid_state         = 2.
    CALL METHOD http_client->receive
      EXCEPTIONS
        http_communication_failure = 1
        http_invalid_state         = 2
        http_processing_failed     = 3.
    CLEAR wf_string1 .
    wf_string1 = http_client->response->get_cdata( ).

  • How i can send songs/music files via bluethooth

    Pls help...  How we can transter the music files form Nokia N900 to other phones. I could find "send Via bluetooth" option when i open photos only.
    Solved!
    Go to Solution.

    C'mon!! Not even a quick search! This is one of the most popular questions here:
    The app you need is Petrovich:
    http://maemo.org/downloads/product/Maemo5/petrovic​h
    In the next firmware (PR1.2) this functionality will be built in.. no one is sure when that firmware will be out, though

  • Sending a Logic file via email?

    I've tried this in gmail but when I go to add the file as an attachment the Logic file is grayed out. Am I missing something. Or is there another easy way to do this?
    TIA

    To make sure the recipient can see the project exactly the way you do, you need to make an archive of the whole project folder. It should then contain everything in the project, but it may also end up pretty large even tough the archiving process makes it slightly smaller than the original.
    I usually work this way: I make sure the other person has all the audio files (I burn a cd or something right after the tracking session). As the project evolves I then send only the Logic session file, which usually is pretty small. Unless I´ve done destructive edits in the audio files, and the other person has the same libraries, plugins etc installed, the project should play back exactly as it does in my computer. Fast and efficient way to work, I think.
    Good luck!
    Juhani

  • Because the iPhone does not send or receive files via Bluetooth

    I can not get any files :/

    iTunes File Sharing
    Dropbox
    WiFi File Sharing apps from the AppStore (GoodReader, iFiles etc.)
    Google Drive
    Microsoft SkyDrive
    SugarSync
    iCloud (via compatible apps)
    File sharing functions built-in to various apps
    Those are the ones off the top of my head. There are more.

  • Cant send or recive files via bluetooth

    not sure why...on my phone it says waiting for reciver to accept (your something) and when i click accept on my laptop nothing happens and it closes.
    when i try to send one from my laptop it just says cannot validate device.
    any ideas? thank you

    That's because file transfer by bluetooth is not supported by the iPhone. These are the only supported bluetooth profiles:
    http://support.apple.com/kb/HT3647

Maybe you are looking for

  • Dequeue XML from Oracle AQ and insert data into table

    Using PL/SQL in a stored procedure, I need to dequeue a message from an Oracle AQ queue, parse the XML content and insert the data in an Oracle table. This is being done in Oracle 9.2.x. The payload for the message consists of 2 attributes, APPLICATI

  • Content in documentation pane missing from Help panel

    I am using Flash MX 2004 on my Mac OS X 10.4.5. The content in the documentation pane (right pane) in the Help panel is missing. Can somebody help me?

  • How to download adobe flash player coz its out of date?

    my computer need to download adobe flash player because its out of date.how to do this problem?

  • Tacacs+ and dynamic vlans

    Hi, Is there a good howto or tutorial that shows what settings are required to have dynamic vlan functionality . Using tacacs+ 802.1x/peap I can get a domain user authenticated but I don't follow how the vlan setup / switching should be done. I want

  • Backup never finishes query

    I have a small business server 2011. On the console the backup keeps querying and never finishes while the other tabs [Security, Updates and Other Alerts] are Ok. If I try to backup, using the console it stacks at "Loading data. Please wait ..." and