Vivado 2014.2 MMCM and BUFGMUX multiple syncronous clock

Hi,
I am working on KC705 and I use Vivado 2014.2. The block diagram of the clocking structure and the system as below.
I generated 3 clocks (250 MHz, 125 MHz, 62.5 MHz) from the input clock (250 MHz).  Because of the BUFG-BUFG error I select clocking wizard output with no buffer option. Then I select the clocks by using BUFGMUX. Finally, I have 4 clocks that I used in the whole design. All clocks should be synchronous to each other.
How can I constraint these clocks? I tried to specify period seperately for each clock but the timing did not met.
Thanks,
Muhammet

So, first, you don't need to add any constraints. You don't say how the clocks are generated, but I assume you are using an MMCM. If so, then the tool can derive all the clocks from the input clocks - simply do a create_clock on the input clock and all the other clocks will be automatically generated (you don't need any create_generated_clock commands).
The multiplexed clock will be complicated - the output of the two cascaded BUFGMUXes will carry all three clocks; the 250, the 125 and the 62.5. The tools will actually perform timing analysis on all FFs on this domain 8 times - between each pair of clocks. But, since all the clocks are multiples of eachother, this will effectively constrain paths to the 250MHz clock.
The paths between the multiplexed clock and all other clock domains will be effectively timed at 250MHz. Assuming that there are legal crossings between the MUXed clock and all three domains (regardless of which mode is selected for the MUXed clock), this too is correct. If there are some restrictions (like there will never be an active path from the MUXed domain to the 62.5MHz domain when the MUXed domain is selecting one of the faster clocks), then exceptions will be required to loosen the requirements on these paths.
All that being said, this is a BAD thing to do in an FPGA. Having different outputs of an MMCM take paths through different numbers of BUFGs introduces very nasty clock skew between the different domains. This will result in huge hold time violations that need to be fixed between the un-MUXed domains and the MUXed domain. This will likely fail timing analysis, and even if it does pass, will require lots of extra routing resources to fix the hold violations.
I am not entirely certain what you are trying to accomplish by using the different domains. Since the 250MHz clock can be selected, all logic will have to be able to run at that speed, so there is no timing advantage to using the slower domains. If the slower domains are needed for functional reasons, then, rather than using clock MUXing, I would suggest using a single BUFGCE for the "multiplexed" domain, driven by the 250MHz output of the MMCM, and enabling the CE all the time (for 250MHz operation), every other clock (for 125MHz operation), or every 4th clock (for 62.5MHz operation). Using the BUFGCE, no generated clocks will be created - the tool will view the BUFGCE as a simple clock buffer, and everything will end up being timed on the 250MHz domain. In this case, there is only one domain on the output of the BUFGCE (250MHz), rather than 3 clocks - this will actually speed up place and route (since there are less timing paths).
All 4 domains will end up going through exactly one BUFG or BUFGCE (which are identical resources), and hence will have no extra clock skew - this will avoid the hold time issue I described above.
The only caveat is if you are planning to use the falling edge of the "multiplexed" domain. In all modes, the clock will be high for only one half period of the 250MHz domain; for 250MHz operation this will result in a normal 50/50 duty cycle. For 125MHz, though, the duty cycle will only be 25% and for the 62.5 it will be 12.5%. Again, this is only an issue if you use the falling edge for clocking logic (which is a bad design practice) or if you use an IDDR or ODDR for interfaces.
Avrum

Similar Messages

  • [Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk (Vivado 2014.2)

    I'm getting the following error during implementation in Vivado 2014.2. I'm using a debug hub and I set C_ENABLE_CLK_DIVIDER true in my xdc constraints. So the MMCM referred to below is the one that the Vivado tool is instantiating. This design was implementing fine for weeks then I stopped implementation before it completed. Vivado asked if I wanted to delete something (I said yes - big mistake). Ever since then, I have not been able to get it to implement.
    [Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk - The computed value 520.833 MHz (CLKIN1_PERIOD, net clk_out2) for the VCO operating frequency of the MMCME2_ADV site MMCME2_ADV_X0Y4 falls outside the operating range of the MMCM VCO frequency for this device (600 - 1440 MHz). The computed value is (CLKFBOUT_MULT_F * 1000 / (CLKINx_PERIOD * DIVCLK_DIVIDE)). Please adjust either the input period CLKINx_PERIOD (6.400000), multiplication factor CLKFBOUT_MULT_F (10.000000) or the division factor DIVCLK_DIVIDE (3), in order to achieve a VCO frequency within the rated operating range for this device.
    Here are the constraints I entered for the debug hub
    set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
    set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
    set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
    connect_debug_port dbg_hub/clk [get_nets clk]

    Yes, there are 2 other MMCM's in the design. I used the following xdc constraints to place them
    set_property LOC MMCME2_ADV_X1Y7 [get_cells Core/Infra/Clks_i/MMCM_0_i/inst/mmcm_adv_inst]
    set_property LOC MMCME2_ADV_X1Y6 [get_cells Core/Infra/Clks_i/MMCM_1_i/inst/mmcm_adv_inst]
    The MMCM that is failing is located at MMCME2_ADV_X0Y4. This one was instantiated by the Vivado tool when I set the constraint 
    set_property C_ENABLE_CLK_DIVIDER true [get_debug_cores dbg_hub]    Setting this to true causes Vivado to instantiate a MMCM inside of the debug hub. The problem appears to be that Vivado setting the parameters of the MMCM so that the output frequency is incorrect. Hence the error:
    [Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk - The computed value 520.833 MHz (CLKIN1_PERIOD, net clk_out2) for the VCO operating frequency of the MMCME2_ADV site MMCME2_ADV_X0Y4 falls outside the operating range of the MMCM VCO frequency for this device (600 - 1440 MHz). The computed value is (CLKFBOUT_MULT_F * 1000 / (CLKINx_PERIOD * DIVCLK_DIVIDE)). Please adjust either the input period CLKINx_PERIOD (6.400000), multiplication factor CLKFBOUT_MULT_F (10.000000) or the division factor DIVCLK_DIVIDE (3), in order to achieve a VCO frequency within the rated operating range for this device.
    When set C_ENABLE_CLK_DIVIDER to false the error goes away, but the timing performance of the design is worse because the MMCM is removed. I used the MMCM here because Chipscope seems to effect the performance of my design. 

  • After installing 2014.3 my site had multiple issues with Google Chrome

    After installing 2014.3 my site had multiple issues with Google Chrome. After I rebuilt the home page in 2014.3 the site is still not well. With the old software the site worked well with all the browsers and loaded incredibly. Despite Adobe's claim of a faster load with the new software it is much slower and in Chrome at times it won't load up at all.
    The home page had two "Video-Animation light box galleries" by Visual pictures company. One had 12 videos, the other 3 videos. All the videos were streamed from Vimeo. There was also an Edge Animate animation in the middle of the page imported as a .oam file. the rest of the page was nothing special except using some web fonts. Again, these elements worked together well before the update.
    After the update everything loads slower in all browsers and in Chrome all types of weirdness happened. Videos would play randomly, all of the audio from all the videos played as the site loaded. Videos would play in the wrong place. Instead of my animation playing a video from the galleries played in it's place instead.
    I rebuilt most of the home page using a new video gallery and that helped some what but when I placed the animation.oam file in the page had new issues. To survive, I've taken the Animation out which helped but the functionality is still far from being acceptable. My boss is un my backside, other work is not getting done the stress level is through the roof because I was the one who encouraged using all these new Adobe tools (which I'm paying for personally). Now you broke it with a new update. This is not the first time I've been caught out with problems with updates. I couldn't use SpeedGrade for months following an update. ADOBE MUST VET THEIR       

    Sorry,
    It was slated to go to the Creative Cloud - Muse area. How it got to Photoshop is beyond me.
    Bob

  • Using Questasim 10.0b with Vivado 2014.3.1 for VERIFICATION / SIMULATION

    Hi Guys,
    I have been using Vivado design suite for some time now.
    Just wanted to know :
    1. If I could verify the design using Questasim 10.0b by linking the Vivado ? 
    2. Can I use system verilog for writing testbech to simulate and verify the verilog design ?
    Can somebody PLEASE help me with this.  [or point me to any document regarding this]
    THANKS in advance.
    Thanks & Regards,
    Ananya

    Hello ,
    Questasim 10.0b is unsupported with Vivado 2014.3. The supported version is 10.3b and above.
    Check page number 16 in release notes of Vivado 2014.3 for supported third party tools:
    http://www.xilinx.com/support/documentation/sw_manuals/xilinx2014_3/ug973-vivado-release-notes-install-license.pdf
    Yes, you can use system verilog for writing test bench to verify verilog design.
    Thanks,
    Syed
    Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful.
    Give Kudos to a post which you think is helpful and reply oriented.
     

  • ILA issues with Vivado 2014.3

    I have been trying to run the Avnet Zedboard lab regarding the 802.11 Beacon frame receiver.
    http://zedboard.org/course/integrated-software-defined-radio-zynq%C2%AE-7000-all-programmable-soc
    I have gotten through all the lab work and am ready now to debug my bit stream using the ILA tool.
    dbg_hub and ila are clearly visible in the synthesized and implemented design, but when I load the bitstream I get the following warning messages:
    refresh_hw_device [lindex [get_hw_devices] 1]
    WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
    WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
    INFO: [Labtools 27-1434] Device xc7z020 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3. You must manually launch hw_server
    with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4.
    To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    WARNING: [Labtools 27-1974] Mismatch between the design programmed into the device xc7z020_1 and the probes file D:/Avnet/Zynq_SDR_2014/vivado/fmcomms2_zed_802_11_RX/fmcomms2_zed_802_11_RX.runs/impl_1/debug_nets.ltx.
    The device design has 0 ILA core(s) and 0 VIO core(s). The probes file has 2 ILA core(s) and 0 VIO core(s).
    Resolution:
    1. Reprogram device with the correct programming file and associated probes file OR
    2. Goto device properties and associate the correct probes file with the programming file already programmed in the device.
    So I ran :
    get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]
    1
    As recommended, I reduced my JTAG clock speed to 3MHz and it did not do anything either.
    I also went back to Vivado 2014.3 - same problem.
    So far no luck, and I have been at this for three days now - very frustrating.
    You cannot use an IDE without proper debugginh tool.
    Am I the only one having these issues? I see there were similar problems with Vivado 2013.3 about a year ago.
    But yet not fixed???
    Arne
     

    Hi
    In 2014.3 you will get these warnings if
    the clock connecetd to the debug hub is not free running clock or active
    the debug hub User Scan Chain setting is 2 or 4 (It is a 1 by default)
    We did realize that the resolution to this warning needs to be more explicit and have fixed it in 2014.4 as follows:
    WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
    Resolution:
    1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
    2. Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
    Thanks
    Smitha

  • Difference between center,edge mmcm and edge direct allignments

    What is the difference between center, edge mmcm and edge direct allignments.

    Both of these terms are used most often used (but not exclusively) when describing source synchronous interfaces.
    Edge Aligned vs. Center Aligned
    When a device uses source synchronous communication, be it a device that sends data to an FPGA (an input to the FPGA) or a an FPGA sending data to an external device (an output of the FPGA), the device needs to define and describe the timing relationship between the clock and the data that it is forwarding to the other device. The receiving data needs to know this timing relationship in order to devise a mechanism to capture the data.
    The timing relationship can really be just about anything, but two types are common:
    Edge Aligned:
    This is the simplest for the transmitting device. The device simply generates the forwarded clock and data using the same mechanism. If the sending device is an FPGA, then it uses an internal clock to drive an ODDR that drives the forwarded clock, and uses the same clock to send the forwarded data, either using an IOB flip-flop (SDR) or an ODDR flip-flop (DDR).
    Using this mechanism, the timing relationship is often (but not always) described as a skew; i.e. the data will change +/-200ps around the edge/edges of the clock.
    Of course, this clock/data timing relationship can rarely be used "as is" by the recieving device, so the receiving device will be responsible for adding some delay or other mechanism to use the forwarded clock to capture the forwarded data (i.e. an MMCM shift or an IDELAY).
    Center Aligned:
    This is supposed to be the simplest mechanism for the receiver. The transmitter uses some internal structure to place the edges of the forwarded clock in the middle of the forwarded data valid window. In an FPGA (as a transmitter), an internal clock would drive an ODDR for the forwarded clock, but the forwarded data would be driven from either an IOB FF using an inverted clock (SDR) or an ODDR using a clock that is 90 degrees out of phase (DDR). (Or it could be vice versa - the data uses the normal clock, and the forwarded clock uses the inverted or 90 degree clock).
    Using this mechanism, the clock/data relationship is often (but not always) defined as a provided setup/hold relationship around the forwarded clock; i.e. the data will be valid 1.5ns before the edge of the clock and remain valid for 1.5ns after the edge of the clock.
    The idea is that using this timing relationship, the receiver should be able to use the forwarded clock as is to capture the forwarded data. In general, though, this is rarely true, since most devices don't have an equal required setup and hold time; so the receiver still needs to modify the clock/data relationship to center its required window in the provided window.
    MMCM vs. Direct Capture
    This is a different concept. Regardless of which alignment is used (edge, center or something in between), the receiver (now we are assuming it is the FPGA doing the reception) needs to implement some clocking mechanism to use the forwarded clock to capture the forwarded data. There are really two styles:
    Direct Capture:
    The forwarded clock is brought in and goes directly to a clock buffer (either BUFG/BUFH or BUFR/BUFIO), which is used to capture the incoming data. Generally (as I said above), the clock/data timing relationship needs to be changed, and when using this capture mechanism, this can only be accomplished using an IDELAY on the clock or data (or both). When done this way, the clock has a propagation delay through the delay element (the IDELAY)
    MMCM Capture:
    Here, the forwarded clock is brought in on a clock capable I/O, then through an MMCM and from there to a BUFG or BUFH (with feedback to the MMCM). When done this way, the "common" way of modifying the clock/data timing relationship is by adjusting the phase delay of the MMCM output clock.
    There is a subtle but extremely important difference in Vivado (and SDC/XDC) between a propagation delay and a phase delay (or phase shift). It has to do with how the tool determines which clock edge will capture data that is generated by a particular clock edge.
    When we use a set_input_delay, we describe to Vivado a data window associated with a particular clock edge. By default, that data window will be captured by the next edge of the clock. The definition of the next edge is different when using these two mechanisms.
    When a clock edge is propagated through a delay element, the resulting clock is the same edge. Lets take the example of a 10ns clock (rising at 0ns, falling at 5ns) with a 2ns delay (using an IDELAY) capturing an SDR data. The rising edge at time 0ns will generate a data window. By default, it will be captured by the next clock edge, which is at 10ns. After the 2ns of propagation delay, the internal clock at 12ns will be used to capture the window.
    When a clock is phase shifted, a new clock is generated. Using the same example using an MMCM, the output clock of the MMCM rises at 2ns and falls at 7ns (and rises again at 12ns). So, the data window defined by the first rising edge of the input clock (at time 0), will be captured by the next rising edge of the phase shifted clock, which is at 2ns (this 2ns rising edge of the phase shifted clock is the "next" edge after the 0ns edge that generated the data window). This is different than the previous example, where the internal edge at 12ns (not 2ns) would capture the first window.
    As a result, the constraints you use to describe the timing relationship (the set_input_delay) needs to be different between these two styles. Its also a bit messy, since the constraints that are correct for an MMCM with 2ns (or even 0.01ns) of phase delay are not correct for an MMCM with 0ns of phase delay (which is a bit icky).
    I hope that clears things up!
    Avrum

  • Problem with Bare-metal AMP app in ZedBoard (Vivado 2014.4)

    I think you are using this existing Application Note: http://www.xilinx.com/support/documentation/application_notes/xapp1079-amp-bare-metal-cortex-a9.pdf
    It is good to use the Tool Version in which the design was created and tested for Application Notes.

    Hello everyone,
    I am testing a simple project for ZedBoard. It want run two bare-metal applications (one in each processor).
    I use Vivado 2014.4, I followed the tutorial[1] but does not function. I follow these steps:
    1. Create a simple project for ZedBoard.
    2. Generate bitstream and export to SDK.
     3. select Xilinx_Tools->Repositories
      Beside the Local Repositories, select New
      Browse to and select <xapp>/src/sdk_repo, select OK
      Select OK
     4. Create the Application that will run on CPU0
      Select File->New->Application_Project
      Enter the project name 'app_cpu0' and select Next
      Select the template 'Empty Application' then select Finish. This step will create a blank application and
        will create the Standalone BSP that is used by the application.
      Import the C and linkerscript file for app_cpu0 by navigating to SDK Project Explorer and right clicking
      on app_cpu0/src and select 'Import'
      Select General->File_System then select Next
      In the 'From directory', browse to and select <xapp>/src/apps/app_cpu0
      In the right window, select both app_cpu0.c and lscript.ld then select Finish. Answer Yes to overwrite lscript.ld
      app_cpu0.c  [Attachments]
      lscript.ld [Attachments]
    5. Create the BSP for CPU1
      Select File->New->Board_Support_Package
      Enter the project name 'app_cpu1_bsp', change CPU to ps7_cortexa9_1.
      Select Finish
      In the 'Board Support Package Settings' Select Overview->drivers->ps7_cortexa9_1 and change the extra_compiler_flags value to contain '-g -DUSE_AMP=1'
      Select OK
    6. Create the Application that will run on CPU1
      Select File->New->Application_Project
      Enter the project name 'app_cpu1'
      Change Processor to ps7_cortexa9_1
      Change 'Board Support Package' to 'Use existing' 'app_cpu1_bsp' and select Next
      Select the template 'Empty Application' then select Finish.
      Import the C and linkerscript file for app_cpu1 by navigating to SDK Project Explorer and right clicking
      on app_cpu1/src and select 'Import'
      Select General->File_System then select Next
      In the 'From directory', browse to and select <xapp>/src/apps/app_cpu1
      In the right window, select both app_cpu1.c and lscript.ld then select Finish. Answer Yes to overwrite lscript.ld
      app_cpu1.c [Attachments]
      lscript.ld [Attachments]
    8. Program FPGA.
    7. Create run configuration.
    8. Run.
    Only app1 runs... App2 don´t wake up :S
    Any suggestions?
    Thank you ;)

  • Vivado 2014.2 crashes on Debian [solved]

    Hi!
    Today I installed Vivado 2014.2 on my Debian machine (kernel 3.14-1-amd64, Debian version "sid" with all packages updated today, "de_AT.UTF-8" locale, Gnome desktop). When starting Vivado:
      . /opt/Xilinx/Vivado/2014.2/settings64.sh
      vivado
    some version information is printed, then "start_gui", then the small startup logo window appears for 1 second, then it crashes with a segmentation fault.
      ****** Vivado v2014.2 (64-bit)
        **** SW Build 932637 on Wed Jun 11 13:08:52 MDT 2014
        **** IP Build 924643 on Fri May 30 09:20:16 MDT 2014
          ** Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
      start_gui
      /opt/Xilinx/Vivado/2014.2/bin/loader: line 121: 15217 Segmentation fault      "$RDI_PROG" "$@"
    Running Vivado as "root" works perfectly. Therefore I investigated the different environment variables and found the culprit.
    Vivado works properly if the environment variable "GNOME_DESKTOP_SESSION_ID" is unset.
      unset GNOME_DESKTOP_SESSION_ID
      vivado
    I hope this information helps others with the same problem.
    Bye
      Hansi
     

    Hello Ankit here,
    Even I had the same error in Ubuntu 14.04 
    First of all you need to change the library as per 
    sudo mv /opt/Xilinx/Vivado/2013.4/tps/lnx64/jre/lib/amd64/server/libjvm.so /opt/Xilinx/Vivado/2013.4/tps/lnx64/jre/lib/amd64/server/libjvm.so.old sudo ln -s /usr/lib/jvm/java-7-openjdk-amd64/jre/lib/amd64/server/libjvm.so /opt/Xilinx/Vivado/2013.4/tps/lnx64/jre/lib/amd64/server/
    Since the library is not the same for the java version in Ubuntu 14.04
    And then try to launch vivado by
    vivado & in terminal
    Then you get an error like 
    ****** Vivado v2014.2
    **** SW Build 932637 on Wed Jun 11 13:08:21 MDT 2014
    **** IP Build 924643 on Fri May 30 09:20:16 MDT 2014
    ** Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
    start_gui
    /home/ankit/opt/Xilinx/Vivado/2014.2/bin/loader: line 121: 20514 Segmentation fault "$RDI_PROG" "$@"
    To which unsetting the GNOME_DESKTOP_SESSION_ID wirks perfectly
    Tested in Ubuntu 14.04
    Thank you Sir

  • Open and print multiple files from the Finder all at once.

    I'm trying to research how to do this. In OS9 you used to be able to hi-lite multiple files (Word files for example) and just hit Command+P. This would automatically open all of the files AND print them.
    I can't seem to do this in my OS X. Or can I? I can hi-lite and go to File/Print, but the computer is only opening but not printing.
    Any suggestions??
    iMac   Mac OS X (10.4.8)  

    I don't know how to both "open and print" multiple files in one step. The ⌘O combination of course will open multiple items. The items remain selected so switching back to the "Finder" and dragging multiple icons onto the icon for "/Applications" > "Utilities" > "Printer Setup Utility.app" seems to cause them all to be printed to the default printer.
    Alternatively, a user's "~/Library/Printers" folder contains printer icons -- I'm not sure what they are exactly, but they represent the printers set up for that account. They function pretty much like the pre-OS X "Desktop Printer" icons so dragging and dropping multiple files onto them should cause them to be printed. It may even be possible to create aliases to them on the "Desktop" to recreate "Desktop Printer" functionality. But again, this won't open the files into their applications.

  • Updated to Adobe Muse 2014 this morning and have worked in it for the last 8 hours. Now when I try to publish the updated site to Business Catalyst for my client to preview it crashes everytime. I have tried just publishing altered files only, then tried

    Updated to Adobe Muse 2014 this morning and have worked in it for the last 8 hours. Now when I try to publish the updated site to Business Catalyst for my client to preview it crashes everytime. I have tried just publishing altered files only, then tried the whole site again, and then tried publishing as a new site altogether. Thought I would then try to export as HTML in the hope of uploading the files via an FTP client and Muse crashes and locks up again. I am extremely stressed about this as I am in the last few days of of website I have been working on now with no issues since December. We are due to go live and my client needs to see it. I am desperate for an answer. It is not looking good. I am on an Apple Mac and have not had any isses publishing it for the last 6 months. Not very happy to say the least. Need desperate help.

    Hi Zak, I got onto Adobe Customer Care Live Chat this morning and gave them the error message. After some trouble shooting with them it appears the older archived file of the site still publishes. I have now reverted back to the old file and copied and pasted out of the new file and from some ideas given to me by support I am now able to publish to Business Catalyst. It seems there was something corrupt within the new pages added yesterday. I have no idea if this would have still happened if I hadn't updated but I am glad it wasn't a Muse specific problem. I am loving using Muse and the support from Adobe has been excellent. Thanks everyone. By the way I do love the new version and apart from this hick up that lost me a few hours, aged me some more and gave me grey hair I really love Muse. Thanks again.

  • How do I import or move multiple selected photos to an existing album in iPhoto 9.6? In previous versions I could select and drag multiple photos into an album. Now I can only move one photo at a time. Help!

    How do I import or move multiple selected photos to an existing album in iPhoto 9.6? In previous versions I could select and drag multiple photos into an album. Now I can only move one photo at a time. Help!

    Try this general troubleshooting procedure:
    1 - delete the iPhoto preference file, com.apple.iPhoto.plist, that resides in your
         User/Home/Library/ Preferences folder.
    2 - delete the contents the following folder: User/Library/Containers/com.apple.iPhoto
    3 - reboot, launch iPhoto and try again.
    NOTE: For Mavericks and Yosemite,  go to your Home folder and use the View ➙ Show View Options menu to bring the this window:
    where you can check the Show Library Folder checkbox.

  • I need to back up and sync multiple iPhones to a single iMac.  Each iPhone has a separate Apple ID and the data from each iPhone needs to be backed up separately from the others.  How can I do this without getting all of the contacts, etc. mixed? Tnx

    I need to back up and sync multiple iPhones to a single iMac.  Each iPhone has a separate Apple ID and the data from each iPhone needs to be backed up separately from the others.  How can I do this without getting all of the contacts, etc. mixed? Tnx

    This might help: http://support.apple.com/kb/HT1495.

  • Windows XP and authorizing multiple users on same computer

    What is the best way to share songs and authorize multiple users (unique XP users on the same computer)?
    Are all users on XP considered to be on unique authorized computerers?
    Thank you.

    Authorization is done on a per-OS basis; all users on one OS installation are considered to be on the same computer.
    (25930)

  • How to find and replace multiple cells at a time

    I am doing repetitive work re find text in numbers but then replace following cells with text. How can I find and replace multiple cells at a time?
    i.e. doing my own budget spreadsheet and coding all transactions with description and code

    Did you try the "Find/Replace" dialog box?:
    Then click the "Replace All" button in the bottom left.

  • Would like to be able to select and move multiple folders in one operation.

    Unless I'm missing something really simple here, there doesn't seem to be any easy way of selecting and moving multiple folders at once.
    Here's a little example of a simple task I want to do. I presently have a multitude of folders each seperated by the day the photo was taken on. So in my case for a given year I might have maybe 50 folders or so. It's getting a little cluttered, so all I want to be able to do is to take all the folders for one given year and place them in a folder with that year's date. This should be a simple 30 second task, simply select the folders I want moved by either <CTRL> or <SHIFT> clicking them, and then dragging and dropping them into the new folder.
    Right now, the only way I've figured how to do this this is to drag and drop each folder one by one, never mind that this is a slow process in itself, but to add to the slowness, whenever I try to drag and drop more than one folder consecutively, I get a message saying ... "Can not move a folder while another move operation is in progress...blah blah". Not that I want this to be the fix, but haven't they heard of queuing?
    I've seen people on the net suggest that for this type of task, you should simply move the folders in Windows first and then locate them in Lightroom. Again, unless I'm missing something very simple here, there doesn't seem to be a way to locate multiple missing folders at once. If I have to manually locate each missing folder one by one, then I'd rather just do the drag and drop thing as this would actually be faster.
    I've also tried moving the folders from within Windows first and then using the "Synchronize folder" option, yeah sure this works, but I lose any changes that I've done in Develop module. Not what I'm looking for.

    Daniel is right. It´s really strange that I can´t move several folders in one move! When I need more space on my laptop I move a group of folders (one folder for every photosession) to an archive. Therefore I really would like to move them in one click, not "baby-sitting" the move of each folder.

Maybe you are looking for

  • Hi team, please help me how to deploy creative cloud desktop application through SCCM for an enterprise

    Hi team, please help me how to deploy creative cloud desktop application through SCCM for an enterprise

  • Payload XML DOM Object Update using Java Embedding

    Hi, I have a Java Embedding activity which updates the payload after making a call to a local EJB. The EJB sends back updated information. In my Java Embedding activity i try to update the payload nodes with the new information. It get's really cumbe

  • Component AV Cable

    I have a Sony Trinitron 32" CRT TV with component video in. I just tried connecting an iPod Classic to the TV with the Generic Component AV Video Cable. I have audio...but no video. Is something wrong here? Is the iPod Classic pushing out a signal? I

  • Can you run Embedded PL/SQL Gateway and Oracle HTTP Server at the same time

    Hi, I know this will sound a bit odd but their is a business case for asking this. Can you run APEX via the Embedded PL/SQL Gateway and the Oracle HTTP Server at the same time? Would their be any security/stability/etc issues I'd need to worry about?

  • SQL query...pls help ASAP

    Actor (Aname: varchar(40), Ano: varchar(6)) Ano is pk Movie (Mname: varchar(40),mno: varchar(8)) Mno is pk PlayIn (Ano, Mno, Pay: Integer) Ano and Mno are fk referencing Acotr and Movie respectively. Actor(Aname, Ano) A Bingo, A1 B Castro, A2 C Katie