[Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk (Vivado 2014.2)

I'm getting the following error during implementation in Vivado 2014.2. I'm using a debug hub and I set C_ENABLE_CLK_DIVIDER true in my xdc constraints. So the MMCM referred to below is the one that the Vivado tool is instantiating. This design was implementing fine for weeks then I stopped implementation before it completed. Vivado asked if I wanted to delete something (I said yes - big mistake). Ever since then, I have not been able to get it to implement.
[Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk - The computed value 520.833 MHz (CLKIN1_PERIOD, net clk_out2) for the VCO operating frequency of the MMCME2_ADV site MMCME2_ADV_X0Y4 falls outside the operating range of the MMCM VCO frequency for this device (600 - 1440 MHz). The computed value is (CLKFBOUT_MULT_F * 1000 / (CLKINx_PERIOD * DIVCLK_DIVIDE)). Please adjust either the input period CLKINx_PERIOD (6.400000), multiplication factor CLKFBOUT_MULT_F (10.000000) or the division factor DIVCLK_DIVIDE (3), in order to achieve a VCO frequency within the rated operating range for this device.
Here are the constraints I entered for the debug hub
set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets clk]

Yes, there are 2 other MMCM's in the design. I used the following xdc constraints to place them
set_property LOC MMCME2_ADV_X1Y7 [get_cells Core/Infra/Clks_i/MMCM_0_i/inst/mmcm_adv_inst]
set_property LOC MMCME2_ADV_X1Y6 [get_cells Core/Infra/Clks_i/MMCM_1_i/inst/mmcm_adv_inst]
The MMCM that is failing is located at MMCME2_ADV_X0Y4. This one was instantiated by the Vivado tool when I set the constraint 
set_property C_ENABLE_CLK_DIVIDER true [get_debug_cores dbg_hub]    Setting this to true causes Vivado to instantiate a MMCM inside of the debug hub. The problem appears to be that Vivado setting the parameters of the MMCM so that the output frequency is incorrect. Hence the error:
[Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk - The computed value 520.833 MHz (CLKIN1_PERIOD, net clk_out2) for the VCO operating frequency of the MMCME2_ADV site MMCME2_ADV_X0Y4 falls outside the operating range of the MMCM VCO frequency for this device (600 - 1440 MHz). The computed value is (CLKFBOUT_MULT_F * 1000 / (CLKINx_PERIOD * DIVCLK_DIVIDE)). Please adjust either the input period CLKINx_PERIOD (6.400000), multiplication factor CLKFBOUT_MULT_F (10.000000) or the division factor DIVCLK_DIVIDE (3), in order to achieve a VCO frequency within the rated operating range for this device.
When set C_ENABLE_CLK_DIVIDER to false the error goes away, but the timing performance of the design is worse because the MMCM is removed. I used the MMCM here because Chipscope seems to effect the performance of my design. 

Similar Messages

  • ERROR: [DRC 23-20] Rule violation (NSTD-1) Unspecified I/O Standard and ERROR: [DRC 23-20] Rule violation (UCIO-1) Unconstrained Logical Port

    I am attempting to follow the "after the out of the box" demo for the Zybo board. I am trying to generate a bitstream on vivado 2015.1 and I keep getting these two errors
    ERROR: [DRC 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 96 out of 226 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: gpio_rtl_tri_i[31:0], gpio_rtl_1_tri_io[31:0], gpio_rtl_0_tri_o[31:0].
    ERROR: [DRC 23-20] Rule violation (UCIO-1) Unconstrained Logical Port - 96 out of 226 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: gpio_rtl_tri_i[31:0], gpio_rtl_1_tri_io[31:0], gpio_rtl_0_tri_o[31:0]
    when first creating the project in Vivado 2015.1 i could not find the Zybo board in the list of boards to choose from. so i followed the "how to import Zybo board into project" topic located on the Xilinx community forum. Since i could not directly select the board some of the instrution for the demo had to be modified. In step seven i could not select the "apply board preset" box and in step 9 i could not assign "btn_4bits" and "sws_4bits" to the gpio blocks. The "After the box demo" can be found here
    https://reference.digilentinc.com/zybo:gsg
    Please help me with setting the I/O standards or importing the Zybo boards as one of the boards I can select insted of me selecting the properties of the board.
    Thank you
     

    Hi
    As mentioned in the link (http://www.xilinx.com/support/documentation/user_guides/ug361.pdf), can you try the design with Vivado 2014.3?
    Thanks,
    Vinay

  • ORA-47400: Command Rule violation for CONNECT on LOGON

    Oracle : 11.2.0.2.0
    OS : AIX
    Issue : alter log throwing error
    ORA-12012: error on auto execute of job "SYS"."IDX_RB$J_6640_2"
    Errors in file /oradiag/diag/rdbms/p1edwni/p1edwni/trace/p1edwni_j000_8306748.trc:
    ORA-12012: error on auto execute of job "SYS"."IDX_RB$J_6640_2"
    ORA-47400: Command Rule violation for CONNECT on LOGON Fri May 13 03:31:30 2011 Errors in file /oradiag/diag/rdbms/p1edwni/p1edwni/trace/p1edwni_j002_7307362.trc:
    ORA-12012: error on auto execute of job "SYS"."IDX_RB$J_6640_3"
    Errors in file /oradiag/diag/rdbms/p1edwni/p1edwni/trace/p1edwni_j002_7307362.trc:
    ORA-12012: error on auto execute of job "SYS"."IDX_RB$J_6640_3"
    ORA-47400: Command Rule violation for CONNECT on LOGON
    sacbidb02-prd{oracle}: cat /oradiag/diag/rdbms/p1edwni/p1edwni/trace/p1edwni_j002_7307362.trc
    Trace file /oradiag/diag/rdbms/p1edwni/p1edwni/trace/p1edwni_j002_7307362.trc
    Oracle Database 11g Enterprise Edition Release 11.2.0.2.0 - 64bit Production
    With the Partitioning, Oracle Label Security, OLAP, Data Mining,
    Oracle Database Vault and Real Application Testing options
    ORACLE_HOME = /oracle/product/11202_p1edwni/server
    System name: AIX
    Node name: sacbidb02-prd
    Release: 1
    Version: 6
    Machine: 00C95CD24C00
    Instance name: p1edwni
    Redo thread mounted by this instance: 1
    Oracle process number: 260
    Unix process pid: 7307362, image: oracle@sacbidb02-prd (J002)
    *** 2011-05-13 03:31:30.226
    *** SESSION ID:(103.43457) 2011-05-13 03:31:30.226
    *** CLIENT ID:() 2011-05-13 03:31:30.226
    *** SERVICE NAME:(SYS$USERS) 2011-05-13 03:31:30.226
    *** MODULE NAME:() 2011-05-13 03:31:30.226
    *** ACTION NAME:() 2011-05-13 03:31:30.226
    ORA-12012: error on auto execute of job "SYS"."IDX_RB$J_6640_3"
    ORA-47400: Command Rule violation for CONNECT on LOGON
    What could be the reason and how to resolve this ?
    This appears coming form Database vault
    Thanks in advance

    Hi:
    I believe your question would be better targeted to the Database Vault forum, where you are more likely to get relevant responses. This is the Audit Vault forum, and people here would know less about that product than those on the other forum.
    Regards.

  • List validation rule violations in Access 2013

    In my list,
    column validation is working good.
    but in the access 2013
    , there will be validation rule violations,
    Can anyone tell me why?

    Hi,
    According to your description, the formula in use not working well in Access 2013.
    I can reproduce this issue in my environment, seems that this formula is not compatible with Access 2013.
    As a workaround, if there is a need to validate the number type of value, you can use a Number column to hold the input value instead. By default, the Number column
    only accept number type of value.
    Thanks
    Patrick Liang
    Forum Support
    Please remember to mark the replies as answers if they help and unmark them if they provide no help. If you have feedback for TechNet Subscriber Support,
    contact [email protected]
    Patrick Liang
    TechNet Community Support

  • Work status rule violation

    Hi,
    we have just migrated from BPC 7.0 to 10.0 and in the intercompany matching model now users get the error message that their currency translation job fails due to 'Work status rule violation'. In the past we did not have problems with these security and access settings.
    Each user group can read&write only to their own entity, but can read all data, in order to see the matching figures from the sister companies.
    Work status is set as following for data manager:
    Unlocked - All
    Submitted company - Mgr
    Submitted group - Mgr
    Approved group - Locked
    Does this mean now in BPC 10.0 that, if any of the other entities has locked its data already, that another entity cannot run the fx translation properly anymore?
    In the currency translation package, we have set the option to 'Yes', when asked whether to check for work status settings before running the package.
    Would it now be better to set the 'Submitted company' setting in the work status options to 'All'. Or rather create a new 'Submitted intercompany' work status? Or would it not matter, if the users would check the box 'No' in the data package?
    Or is this a totally other problem at all?
    Thanks,
    Melanie

    Hi Melanie,
    the simplest solution would be to ask your users not to leave the selection on <all> but select their entity.
    Have you seen this post? http://scn.sap.com/people/sergey.nelyapenko2/blog/2013/01/10/how-to-deal-with-bpc-data-manager-packages-programmatically
    It might help you find a way of getting there.
    BR,
    Arnold

  • Google Play Nexus 6 on Verizon Network C-Block Rules Violation

    Verizon,
    I have tired for some time to figure out how to activate my Google Play bought Nexus 6 on your network in accordance to C-Block rules. As No rep is able to point me to clearly defined and published procedures and rights I can only assume your in violation of "47 CFR 27.16 - NETWORK ACCESS REQUIREMENTS FOR BLOCK C IN THE 746-757 AND 776-787 MHZ BANDS."
    I request that my Nexus 6 be properly activated on your Network, and that I be provided with a Link to the "clear and reasonable procedures" that the FCC requires of you to publish for how to activate a device on your network along with all of my rights to request attachment to your network.
    47 CFR States that if your operating in 746-757 and 776-787 MHz bands that
    1) Licensees offering service on spectrum subject to this section shall not deny, limit, or restrict the ability of their customers to use the devices and applications of their choice on the licensee's C Block network
    2)
    (1) Licensees shall establish and publish clear and reasonable procedures for parties to seek approval to use devices or applications on the licensees' networks. A licensee must also provide to potential customers notice of the customers' rights to request the attachment of a device or application to the licensee's network, and notice of the licensee's process for customers to make such requests, including the relevant network criteria.
    (2) If a licensee determines that a request for access would violate its technical standards or regulatory requirements, the licensee shall expeditiously provide a written response to the requester specifying the basis for denying access and providing an opportunity for the requester to modify its request to satisfy the licensee's concerns.

    I disagree... I am being discriminated against and charged and extra $25 a month by bringing my own device.
    So as they are also not able to activate my device without faking a sim card - IE I cannot make a new account with my GP N6, and they are charging me over. This is clearly a violation.
    Discrimination of this nature is prohibited by the 700 MHz Order open platforms provisions (22 FCC Rcd, Pages 15358–15374), specifically Paragraph 222:
    In that regard, we emphasize that C Block licensees may not impose any additional discriminatory charges (one-time or recurring) or conditions on customers who seek to use devices or applications outside of those provided by the licensee.

  • FRM-40207 supressed after Business Rule violation

    Situation:
    - Form based on table with column A number(1)
    - Item width in form = 2 (automatic, to enable negative sign)
    - Business rule on column: value must be > 0
    - Try saving record with column A = -2: Business Rule is violated --> error message (as expected)
    - Changing value to 22, no error, not possible to leave record/item: 'FRM-40207 Must be in range -9 to 9' is not shown
    - Trying to type 22 first time leads to the 'FRM-40207: Must be in range -9 to 9' message as expected
    - Generating the form with teh preference set QMS_DEBUG_MODULE: FRM message is also shown after violation of BR (negative value) (thus it is a Headstart problem?)
    - Setting a format mask of 9 on the field does not solve the problem, in that case 'FRM-40209 Must be of format 9' is supressed
    It looks like the rule frame/headstart code suppresses the FRM message after violation of a Business Rule,
    anybody experienced the same problem or knows a solution?
    tia
    Geert

    I think we came across the same problem as you are describing. We created a temporary workaround for our problem by creating a custom library object using both the <maximum length> and <format mask> properties. This may not be feasible if you have are having the problem with several columns of varying lengths.
    [email protected]

  • Error QMS-00100 show at business rule violation

    When a business rule is violated I always get the following message first in my form:
    'Error QMS-00100 Unhandled exception ORA-20999 in PLSQL Program Unit qms_transaction_mgt.perform_business_rule'
    The next message is the right message when the business rule is violated.
    Does someone has a clue why this first message is shown?

    I don't know why this error showed up, but by recreating all packages in the headstartscheme the problem is solved

  • Rollup errors - why not aggregate all rule violations into one record.

    Hi there
    We are using data rules on a target table and for each incoming row which violates a data rule a separate record is written to the error table. we have set the rollup errors to Yes. I would have thought this would have been sufficient but have read another post which talks about mapping from this error table into another custom error table. We could do this but I'm concerned about having two error tables instead of just the one.
    Any ideas/advice?
    Many Thanks

    Hi,
    If u believe what ever error table that u are using meets ur requirement for tracing the data then u donot need to maintain a separate error table as it would eat up on ur table spaces and also u have to take into consideration the maintenance cost for the same.
    If u want a centralized view of all error tables in a single table then it would be a good idea to do it.
    Regards
    Bharath

  • Primary Key rule Violation error while Insert Record in Compact DB

    Hi All,
    I have One Table in Server Name "Student"  when i Synchronize this with the help of Microsoft Synchronization Framework
    same Table schema with Data has been create in CompactDataBase.
    When i insert data in CompactDataDase it give me Primary Key Violation error.
    Please Suggest
    Example
    Table Structure is as Follows:-
    Create Table Student(
    [Emp_id] int IDENTITY(1,1) NotNull,[Emp_Name] int NOTNULL );insert into Student Values('John');insert into Student Values('Joe');Thanks & RegardsNamit Jain

    try this and see if it solves,
    also, Emp_name data type is int. it should be varchar.
    I suspect the reason is because you already have some data in student table but you identity seeds were not updated and hence it is trying to insert a row with emp_id value that already exists.and hence the primary key violation. also, your table DDL did
    not really have an primary key but it would still error since you are inserting duplicate row in the identity column.
    so, try resetting the identity seed value to the max(emp_id) in the table.
    select max(Emp_ID) from  student
    --use the output of the above statement
    DBCC CHECKIDENT ('Student', RESEED,<<--use the output of the above statement-->>)
    --your max(emp_id) should match with last_value column in the below( do not if this query would run in compact DB though)
    select seed_value,increment_value,last_value from sys.identity_columns where object_id=object_id('Student')
    now, try your insert.
    Hope it Helps!!

  • Entity rule violations: more descriptive error messages

    We have several BC4J entity objects, view objects and a JSP application. The entity objects contain rules like mandatory attributes, validation rules at the attribute level, etc. If the user enters invalid data in an HTML form and submits, the errors are generally cryptic and difficult for the user to understand. For example, if the user misses a required field, the exception indicates that "JBO-12345 ... ReqTypeId is required" (for example). It would be ideal if the message could be changed to include the label hint in the message. For example, "Requirement Type is required", where "Requirement Type" is the label hint that is displayed on browse, edit and query pages.
    Validation rules (e.g. range rule) applied at the attribute level have a similar issue. Custom rules in validateEntity() do not have this issue because they are custom and we can throw whatever exception we want, with a detailed, user-friendly message.
    If this is not possible in JDeveloper 9.0.2 (without extensive custom coding), will it be possible in a future release?
    Thanks,
    Bill

    We have several BC4J entity objects, view objects and a JSP application. The entity objects contain rules like mandatory attributes, validation rules at the attribute level, etc. If the user enters invalid data in an HTML form and submits, the errors are generally cryptic and difficult for the user to understand. For example, if the user misses a required field, the exception indicates that "JBO-12345 ... ReqTypeId is required" (for example). It would be ideal if the message could be changed to include the label hint in the message. For example, "Requirement Type is required", where "Requirement Type" is the label hint that is displayed on browse, edit and query pages.
    Validation rules (e.g. range rule) applied at the attribute level have a similar issue. Custom rules in validateEntity() do not have this issue because they are custom and we can throw whatever exception we want, with a detailed, user-friendly message.
    If this is not possible in JDeveloper 9.0.2 (without extensive custom coding), will it be possible in a future release?In 9.0.2 you can route all JboExceptions at the JSP level via a handle-all-jbo-exceptions method where you may perform such 'message conversions'. IN general that's the practice most apps have to implement to wrap framework exceptions into user-level exceptions. IN JCLient that's the paradigm followed so that applications can funnel all exceptions via a single handler and perform any exception message massaging.
    However you suggestion about involving control-hints into messages by default is a good one and I'd log an Enhancement #2623360 to track this idea.
    Thanks,
    Bill

  • New iphone 5 + $70/month limited tiered 2gb/month plan = no hotspot ?? FCC rules violation?

    Hello all,
    I got a new iphone 5 from the verizon store in michigan. I chose the $70/month plan with 450 minutes + 2gb/month data. So it is a LTE capable phone offered by Verizon on a limited tiered data plan.
    Now according to the FCC decision, Verizon is not supposed to block hotspot tethering on this plan on a phone which uses the LTE spectrum. (details here: http://hraunfoss.fcc.gov/edocs_public/attachmatch/DOC-315501A1.pdf)
    However, I am unable to enable the hotspot or tethering feature. When I try to enable personal hotspot, it shows a popup on the screen saying "contact verizon" by either going to the website or calling them.
    This is contrary to the verizon obligations under the FCC requirements. I have written a letter to verizon but haven't heard back from them. Its obvious that the hotspot has not been provisioned on this account but why I don't know.
    Is anyone else having the same problem? If so, did you get it resolved?
    thanks,

    Verizon has a very inconsistent business model regarding tethering. Tablets on a 2 Gig data plan CAN tether/hotspot but smartphones CAN'T. Tethering has always been borked on my 2 Gig plan, whether 3G or 4G. I think it's outrageous that you can put a ONE gig data plan (for FIFTY DOLLARS no less) on a Share Everything plan and tether, but NOT on a 2 Gig individual plan. I just upgraded my plan to 4 Gig to test the tethering and hotspot functions on my new Razr M and I'm delighted to find out all 3 tethering pipes (USB, WiFi, BlueTooth) work on my Linux box but as a matter of principle that I don't feel tethering is a service that carriers should have a LEGAL right to charge for, I won't be keeping it very long.
    But Brian68 is right in that the FCC did NOT say Verizon couldn't charge for tethering although I strongly wish they had, particularly for usage-based data packages. So your only options are:
    1 Switch to Share Everything Plan, Tethering is included, data allowances are the pits for what they charge, considering the line access fees.
    2 Switch to 4 Gig data w/ Hotspot plan (extra $20 a month, maybe you can use the extra data, waste of money if you can't)
    3 Call and b**ch like crazy, I've read somewhere that sometimes they will give you a $0 cost tethering SKU to shut you up, but I have no idea how effective that is.
    Tethering fees are a total crock to me, especially when you are already paying for a capped amount of data, with tethering possibly pushing you into lucrative overage charges. Double dipping at it's worst. Makes me MAD like nothing else.

  • Mig7 project Debugging with ILA core - Timing Error in implementation

    Hello,
    I am designing a core which has only performing read/write operations to/from DDR3 SDRAM with MIG7 IP core. I am synthesizing and implementing without any problem if I have no ILA core present in the project. After adding the ILA core I am having errors at implementation (Route Design). I used Set up Debug wizard while creating cores and .xdc file.
    After several trials I have seen 2 types of errors:
    [Drc 23-20] Rule violation (PDRC-29) MMCM_adv_ClkFrequency_clkin1 - The calculated frequency value, 0.000 MHz, of the CLKIN1_PERIOD attribute on the MMCME2_ADV site MMCME2_ADV_X1Y1 (cell tranceiver/u_mig_7series_0_mig/u_ddr3_infrastructure/gen_mmcm.mmcm_i) is outside the allowed range (10.000 - 933.000 MHz). Please change the CLKIN1_PERIOD attribute value in order to be within the allowed range for this device.
    [Drc 23-20] Rule violation (PDRC-34) MMCM_adv_ClkFrequency_div_no_dclk - The computed value 500.000 MHz (CLKIN1_PERIOD, net CLK) for the VCO operating frequency of the MMCME2_ADV site MMCME2_ADV_X1Y0 (cell dbg_hub/inst/USE_DIVIDER.U_GT_MMCM) falls outside the operating range of the MMCM VCO frequency for this device (600 - 1440 MHz). The computed value is (CLKFBOUT_MULT_F * 1000 / (CLKINx_PERIOD * DIVCLK_DIVIDE)). Please adjust either the input period CLKINx_PERIOD (10.000000), multiplication factor CLKFBOUT_MULT_F (5.000000) or the division factor DIVCLK_DIVIDE (1), in order to achieve a VCO frequency within the rated operating range for this device.
    [Vivado_Tcl 4-16] Error(s) found during DRC. Router not run.
    In xdc file I have changed two parameters:
    set_property C_CLK_INPUT_FREQ_HZ 200000000 [get_debug_cores dbg_hub] -- it was 300000000 before as default
    set_property C_ENABLE_CLK_DIVIDER true [get_debug_cores dbg_hub] -- it was false
    I added xdc file and error description in the attachments.
    I could not find any solution.
    Best regards, Ali

    I guess I have solved the problem, if it is a problem.
    In the description of the property, C_CLK_INPUT_FREQ_HZ,  it says that "this property is only used when C_ENABLE_CLK_DIVIDER property is set true". However when I set true it gives clock frequency error as I have given in the error description.
    Then I have set C_ENABLE_CLK_DIVIDER property to false and then tried with that. And everything gone well. The project is working as I intented.
    I guess there is a mistake in the explanation or I just misunderstood the sentence.
    Thanks for the previous replays.

  • How to use two gtx transceivers in one quad for two aurora ips

    hera mgt bank is 113
    i am using two aurora ips 64b66b .for one ip GTX_X1Y0, another GTX_X1Y2.while simulating ,results are good.Coming to implementation its showing error in implementaion.that in MAP.
    Pack:2811 - Directed packing was unable to obey the user design constraints (LOC=GTXE2_COMMON_X1Y1) which requires the combination of the symbols listed below to be packed into a single GTXE2_COMMON component.
    The directed pack was not possible because: The target component type can only contain one fragment.
    The symbols involved are:
    GTXE2_COMMON symbol "Source2/Aurora_2/src_2_wrapper_i/Src_2_multi_gt_i/gtxe2_common_i" (Output Signal = NULL)
    GTXE2_COMMON symbol "Source1/Aurora_1/src_1_wrapper_i/Src_1_multi_gt_i/gtxe2_common_i" (Output Signal = NULL)
    What is the solution for it?
     find attachments.

    i got following error while implementing project in vivado.
    "[DRC 23-20] Rule violation (REQP-1739) GTx R/TXOUTCLK drives inappropriate load - GTXE2_CHANNEL cell design_1_i/aurora_64b66b_0/inst/design_1_aurora_64b66b_0_0_core_i/design_1_aurora_64b66b_0_0_wrapper_i/design_1_aurora_64b66b_0_0_multi_gt_i/design_1_aurora_64b66b_0_0_gtx_inst/gtxe2_i pin design_1_i/aurora_64b66b_0/inst/design_1_aurora_64b66b_0_0_core_i/design_1_aurora_64b66b_0_0_wrapper_i/design_1_aurora_64b66b_0_0_multi_gt_i/design_1_aurora_64b66b_0_0_gtx_inst/gtxe2_i/TXOUTCLK (net: design_1_i/aurora_64b66b_0/inst/design_1_aurora_64b66b_0_0_core_i/design_1_aurora_64b66b_0_0_wrapper_i/design_1_aurora_64b66b_0_0_multi_gt_i/design_1_aurora_64b66b_0_0_gtx_inst/tx_out_clk) should only drive BUFG, BUFH, BUFMR, MMCM or PLL loads, but drives one or more invalid loads such as FDRE cell CORE_STATUS_channel_up_master_reg. Please insert a BUFHCE (or a BUFMR, if the load is a BUFR) between the GT and its load(s).
    [DRC 23-20] Rule violation (REQP-1739) GTx R/TXOUTCLK drives inappropriate load - GTXE2_CHANNEL cell design_1_i/aurora_64b66b_1/inst/design_1_aurora_64b66b_1_0_wrapper_i/design_1_aurora_64b66b_1_0_multi_gt_i/design_1_aurora_64b66b_1_0_gtx_inst/gtxe2_i pin design_1_i/aurora_64b66b_1/inst/design_1_aurora_64b66b_1_0_wrapper_i/design_1_aurora_64b66b_1_0_multi_gt_i/design_1_aurora_64b66b_1_0_gtx_inst/gtxe2_i/TXOUTCLK (net: design_1_i/aurora_64b66b_1/inst/design_1_aurora_64b66b_1_0_wrapper_i/design_1_aurora_64b66b_1_0_multi_gt_i/design_1_aurora_64b66b_1_0_gtx_inst/tx_out_clk) should only drive BUFG, BUFH, BUFMR, MMCM or PLL loads, but drives one or more invalid loads such as FDRE cell CORE_STATUS_1_channel_up_slave_reg. Please insert a BUFHCE (or a BUFMR, if the load is a BUFR) between the GT and its load(s).
    [USF-XSim 62] 'compile' step failed with error(s) while executing 'F:/PERSONAL/XilinxVivado2014.2/shared_logic/shared_logic.sim/sim_1/behav/compile.bat' script. Please check that the file has the correct 'read/write/execute' permissions and the Tcl console output for any other possible errors or warnings.
    [Vivado_Tcl 4-23] Error(s) found during DRC. Placer not run."
    i am attaching topmodule file
    need solution
    thanks in advance
    razz

  • Need help to interface InRevium's TB-FMCH-HDMI4K Board with ZC706 Eval board

    We (PathPartner Technology Consulting Services) have recently procured the above-mentioned card(from Inrevium) for supporting HDMI display (HDMI2.0 for 4K) for our FPGA-based Video Codec solution.
    We are implementing this solution on ZC706 board (Zynq-7045 based)
    We did get access to reference designs from Xilinx’s HDMI Reference Design lounge wherein the Reference design is targeted for KC705 evaluation board. It seemed feasible to implement the same design on ZC706 board since both the devices in KC705 and ZC706 use the same XCVR.
    While implementing the design on ZC706 board, we could not generate bitfiles due to DRC violations. We did set the pin assignments made in XDC file targetting ZC706 (compared to KC705).
    The DRC errors are:
    [DRC 23-20] Rule violation (RTSTAT-2) Partially routed net - 1 net(s) are partially routed. The problem bus(es) and/or net(s) are IPI_INST/hdmi_ipi_i/hdmi_gt_0/inst/drurefclk_to_qpll.
    [DRC 23-20] Rule violation (UCIO-1) Unconstrained Logical Port - 2 out of 49 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: DRU_CLK_P_IN, DRU_CLK_N_IN.
    [Vivado 12-1345] Error(s) found during DRC. Bitgen not run.
    Delving further:
    The HDMI 2.0 Design requires GT differential clock pairs for 3 of its clocks, the pins are as mentioned below:
    In the reference design that is based on the KC705 board, these pins are connected to the approriate GT Differential clock pairs as below:
    set_property PACKAGE_PIN C8 [get_ports HDMI_RX_CLK_P_IN]  (FMC_HPC_GBTCLK0_M2C_P on KC705)
    set_property PACKAGE_PIN G8[get_ports DRU_CLK_P_IN] (SMA_MGT_REFCLK_P on KC705)
    set_property PACKAGE_PIN E8 [get_ports TX_REFCLK_P_IN] (FMC_HPC_GBTCLK1_M2C_P on KC705)
    But in case of ZC706 we could find only 2 GT pairs: FMC_HPC_GBTCLK0_M2C and FMC_HPC_GBTCLK1_M2C that corresponds to pins AD10 and AA8 respectively.
    We could not find another differential clock pin on HPC connector with similar properties for DRU_CLK_P_IN.
    We have set the constraints as follows:
    set_property PACKAGE_PIN AD10 [get_ports HDMI_RX_CLK_P_IN] 
    set_property PACKAGE_PIN AA8 [get_ports TX_REFCLK_P_IN]
    and
    set_property PACKAGE_PIN AF10 [get_ports DRU_CLK_P_IN]: Need to find an appropriate pin on ZC706 board
    Please refer to the attached .xdc file that we are using for the bit file generation on ZC706 board (we have retained the file name similar to one used for KC705 in the reference design).
    It will help us if we can find an alternative pin for the DRU_CLK_P_IN on ZCZ706 board
    Another alternative would be: We do not need the RX port. Hence, if RX can be completely disabled, the RX CLK pin can be used for DRU_CLK_P_IN.  Need to know how RX can be disabled.
    Looking forward for guidance in resolving the above-mentioned...
    Thanks and Regards
    Lalith
     

    Hi Satish,
    For the TB-FMCH-HDMI4K Card that we have procured from InRevium, we have got a reference design that is targetted for KC705 board. We have a ZC706 board and intend to get this card operational on ZC706. It seemed feasible since both the devices in KC705 and ZC706 use the same XCVR.
    The HDMI 2.0 Design requires GT differential clock pairs for 3 of its clocks, the pins are as mentioned below:
    In the reference design that is based on the KC705 board, these pins are connected to the approriate GT Differential clock pairs as below:
    set_property PACKAGE_PIN C8 [get_ports HDMI_RX_CLK_P_IN]  (FMC_HPC_GBTCLK0_M2C_P on KC705)
    set_property PACKAGE_PIN G8[get_ports DRU_CLK_P_IN] (SMA_MGT_REFCLK_P on KC705)
    set_property PACKAGE_PIN E8 [get_ports TX_REFCLK_P_IN] (FMC_HPC_GBTCLK1_M2C_P on KC705)
    But in case of ZC706 we could find only 2 GT pairs: FMC_HPC_GBTCLK0_M2C and FMC_HPC_GBTCLK1_M2C that corresponds to pins AD10 and AA8 respectively.
    We could not find another differential clock pin on HPC connector with similar properties for DRU_CLK_P_IN.
    We have set the constraints as follows:
    set_property PACKAGE_PIN AD10 [get_ports HDMI_RX_CLK_P_IN] 
    set_property PACKAGE_PIN AA8 [get_ports TX_REFCLK_P_IN]
    and
    set_property PACKAGE_PIN AF10 [get_ports DRU_CLK_P_IN]: Need to find an appropriate pin on ZC706 board
    Please refer to the attached .xdc file that we are using for the bit file generation.
    It will help us if we can find an alternative pin for the DRU_CLK_P_IN on ZCZ706 board
    Another alternative would be: We do not need the RX port. Hence, if RX can be completely disabled, the RX CLK pin can be used for DRU_CLK_P_IN.  Need to know how RX can be disabled.
    Looking forward for your guidance in resolving the same
    Thanks and Regards
    Lalith
     

Maybe you are looking for

  • Work order Bus area

    I have some baisc questions related to Plant mintenance work orders, equipment, functional locations and business area. How to check on the business areas in the functional locations and equipment masters. is there a report. From where would the work

  • Xorg 1.8 update, gnome seg fault

    I just recently updated to Xorg1.8 and followed the respective wiki's and things went rather well, err... so I thought.  When I finally tested Xorg by running 'startx' gnome fires right up and shows me the desktop just long enough to tease me and the

  • Precise movement and drawing of objects in CS6

    Hi, having used CS3 before, I've recently bought the CS6 package. I set all Preference settings they way they were in CS3 but I'm having difficulties moving a selected object in precise orthogonal (or diagonal) orientation. I'm used to seeing an "ori

  • Problem in script format

    hi, i am having problem in script , the problem is s.no|    descriptio                           |   UOM                      |          Qty                   |             Rate           |          AMT            | 01     aaaaaaaaaaaaaaaaaaaaa      

  • Limit of number of keyword in the search

    Hi expert, do you know if there is a limit  regarding the number of keyword (or number of characters) in the search field of the Search IView. Indeed when I launch a search with more than 11 keywords (83 characters), I have the following Exception. E