ILA issues with Vivado 2014.3

I have been trying to run the Avnet Zedboard lab regarding the 802.11 Beacon frame receiver.
http://zedboard.org/course/integrated-software-defined-radio-zynq%C2%AE-7000-all-programmable-soc
I have gotten through all the lab work and am ready now to debug my bit stream using the ILA tool.
dbg_hub and ila are clearly visible in the synthesized and implemented design, but when I load the bitstream I get the following warning messages:
refresh_hw_device [lindex [get_hw_devices] 1]
WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
INFO: [Labtools 27-1434] Device xc7z020 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3. You must manually launch hw_server
with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4.
To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
WARNING: [Labtools 27-1974] Mismatch between the design programmed into the device xc7z020_1 and the probes file D:/Avnet/Zynq_SDR_2014/vivado/fmcomms2_zed_802_11_RX/fmcomms2_zed_802_11_RX.runs/impl_1/debug_nets.ltx.
The device design has 0 ILA core(s) and 0 VIO core(s). The probes file has 2 ILA core(s) and 0 VIO core(s).
Resolution:
1. Reprogram device with the correct programming file and associated probes file OR
2. Goto device properties and associate the correct probes file with the programming file already programmed in the device.
So I ran :
get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]
1
As recommended, I reduced my JTAG clock speed to 3MHz and it did not do anything either.
I also went back to Vivado 2014.3 - same problem.
So far no luck, and I have been at this for three days now - very frustrating.
You cannot use an IDE without proper debugginh tool.
Am I the only one having these issues? I see there were similar problems with Vivado 2013.3 about a year ago.
But yet not fixed???
Arne
 

Hi
In 2014.3 you will get these warnings if
the clock connecetd to the debug hub is not free running clock or active
the debug hub User Scan Chain setting is 2 or 4 (It is a 1 by default)
We did realize that the resolution to this warning needs to be more explicit and have fixed it in 2014.4 as follows:
WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.
Resolution:
1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR
2. Manually launch hw_server with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
Thanks
Smitha

Similar Messages

  • Using Questasim 10.0b with Vivado 2014.3.1 for VERIFICATION / SIMULATION

    Hi Guys,
    I have been using Vivado design suite for some time now.
    Just wanted to know :
    1. If I could verify the design using Questasim 10.0b by linking the Vivado ? 
    2. Can I use system verilog for writing testbech to simulate and verify the verilog design ?
    Can somebody PLEASE help me with this.  [or point me to any document regarding this]
    THANKS in advance.
    Thanks & Regards,
    Ananya

    Hello ,
    Questasim 10.0b is unsupported with Vivado 2014.3. The supported version is 10.3b and above.
    Check page number 16 in release notes of Vivado 2014.3 for supported third party tools:
    http://www.xilinx.com/support/documentation/sw_manuals/xilinx2014_3/ug973-vivado-release-notes-install-license.pdf
    Yes, you can use system verilog for writing test bench to verify verilog design.
    Thanks,
    Syed
    Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful.
    Give Kudos to a post which you think is helpful and reply oriented.
     

  • Is anyone else having an issue with Muse 2014.2 Freezing/Crashing at launch? It worked perfectly up until tonight and nothing has changed on my computer. I'm running windows 8.1. I've tried logging in and out of CC, I've uninstalled and reinstalled Muse t

    Is anyone else having an issue with Muse 2014.2 Freezing/Crashing at launch? It worked perfectly up until tonight and nothing has changed on my computer. I'm running windows 8.1. I've tried logging in and out of CC, I've uninstalled and reinstalled Muse twice with no change. Please advise. Thanks!

    Are you getting any error when Muse crashes? what's the one function it lets you do before it freezes?

  • SFTP connection issue with Dreamweaver 2014

    I have a very weird SFTP connection issue with Dreamweaver CC (2014). I am on a Mac running 10.9.4 and have no problem connecting to my server through SFTP with Dreamweaver CS 6. However, using the exact same settings on CC (2014), I get the following error: "An FTP error occurred - cannot make connection to host. Your login or password is incorrect. Please check your connection information".
    I am truly at a loss here. Command line connection works without problems.
    Any thoughts?

    Hi there,
    Try after rebooting your system or in a different user account on MAC.
    Is there any limit with number of login sessions with your SFTP?
    Could you check with Filezila or similar FTP client and connect to your site, see if you get any error there?
    Thanks.

  • Issue with CC 2014 applications asking me to login and license every time.

    Hi,
    Having an issue with Creative Cloud 2014 application asking me to sign in and license the software every time i open them, the sign in works fine and allows me to use the product so why does it constantly ask me to log in every time I open Photoshop, inDesign etc.
    I have tried the following -
    Removing the OOBE folder and reinstalling the CC app but still not luck happens in both the admin account and standard user account where it will ask you to license the software everytime.
    Found this http://www.urbansouldesign.co.uk/web-tips/adobe-creative-cloud-asking-for-serial-number/ but when changes made unable to open the apps at all and tells me they need to be reinstalled.
    Remove the user from teams and re invited but problem still there after that too.
    Signed in on another machine fine and licensed the software with no problems.
    Any ideas or help would be appreciated.
    Thanks
    Anthony

    A chat session where an agent may remotely look inside your computer may help
    Creative Cloud chat support (all Creative Cloud customer service issues)
    http://helpx.adobe.com/x-productkb/global/service-ccm.html

  • Any issues with latest 2014 ios update & Straight Talk

    Hi, I've been with straight talk for about 2 weeks without any problem - switching --porting--pics.....all went well . Now my phone ( iPhone 3c) shows iOS update waiting..
    Due to all I have read here & there,I'm a bit uneasy to do the update. Has anyone had any issues with lates t2014 ios update using ST? Will it affect the working of the phone if I don't do update? Thanks for any input.

    Hi, Glad  that worked for you. I don't know why this is happening other than to offer an opinion. This hardware acceleration was causing an issue with the previous version of FP also. I think that this technology is ready but the websites are not, youtube included.
    The same thing happened with Flash Player for the mobile phones, Android in particular. Adobe was ready but had to wait on Google to catch up. So the users thot Adobe was at fault, but that wasn't the case. Google had to update and that was done with their 2.2 update. This is not an opinion however. I followed this because users were coming here asking why Flash Player wasn't available for their Android:-)
    Adobe/Microsoft/Mac/Linux are all involved and if one advances a particular technology that effects the others, then each one must make a change for that technology to work. At least that's how I see it.
    Thanks for marking your thread as answered
    Regards,
    eidnolb

  • Rtl co simulation licensing issue with vivado hls 2015.2

    ERROR: [Simtcl 6-50] Simulation engine failed to start: A valid license was not found for simulation. Please run the Vivado License Manager for assistance in determining which features and devices are licensed for your system.
    I am using 30 day evaluation, c simualtion and c synthesis are workikng but rtl cosimulation not working

    Hi
    Vivado evaluation license includes HLS license.
    http://www.xilinx.com/products/design-tools/vivado/vivado-webpack.html
    Please attach the license file along with report_environment.

  • Issues with sleep/wake with 2014 retina Macbook pro

    Hello everyone,
    I have lately been noticing some issues with my 2014 13" retina macbook pro when sleeping and waking. As soon as my laptop goes into sleep while I am using I move the mouse around from the track pad to wake it back up. When i do that it takes the latop around 2 mins to get the login screen up where i put in my password to log on. The screen stays blank for 2 mins and I am just sitting around waiting to log back in. Is anyone else having this issue with there 2014 retina macbook pros? Anyone know of any fixes? I have just updated my laptop to Mavericks 10.9.3 and the issue are still there.

    I upgraded my early 2011 MBP to 16 gigs with Crucial. My machine would not sleep properly, because when I tried to wake it, it would wake from its hibernate image file. This is the issue I've seen here in this list.
    I tried all the suggestions I saw on these groups. Nothing helped. I contacted Crucial, who suggested that if their RAM was defective, they'd replace it per their warranty process. I needed some proof it was their RAM causing the problem.
    I put the original 8 gigs RAM back in the machine (2 4 gig sticks), and it behaved normally. I then put one stick of Crucial RAM in, all by itself. The machine behaved normally. I put the other stick of Crucial RAM back in, and took the first one out. The machine would not properly sleep and wake from sleep. This proved that one stick was causing the problem, and the other was not.
    Defective RAM!
    I then requested and received an RMA from Crucial to send the defective RAM back. They paid shipping. I got new sticks of RAM about a week later. These new sticks of RAM work properly. No sleep issues.
    I'm surprised and disappointed to say that this is not the first time I've received defective RAM from Crucial. I've purchased from them for years, and had GREAT service and received high quality products. The past couple years my experience has been different.
    I'm still pretty steamed about this, as I spent a great deal of time trying to diagnose the problem and fix it. I don't really have that kind of time to waste. My expectation was that their RAM was high quality, and unlikely to be at fault.
    Perhaps this is just a bad batch of RAM. I get that. I can forgive them once. But this has happened twice in the past three years. I'll look elsewhere for my next memory purchase.
    Keep in mind that their customer ratings are generally very high. My experience is probably  isolated.

  • Glitch with Indesign 2014

    I am having an issue with Indesign 2014. The document is fine on Indesign - I have imported drawings as EPS files, some images and I have text. I then exported the document as a PDF and all the text in the EPS drawings is distorted. This has not happened with previous versions of Indesign just Indesign 2014.
    It has happened on 3 separate documents.

    How were these EPS files created? EPS is an archaic file format that, for the most part, should be avoided.
    If you created these files go back to the original and save as AI or PDF.

  • Issues with placing IBUGDS, Vivado 2014.2

    Hi all,
    I am currently using this device xc7z045ffg676-1 and am having issues with making a HR port  LVDS_25  input pair at pins AE25 and AE26 a clock source.  
    The verilog code for the instantation of  the port as a clock input is as followes
    module ad_lvds_clk (
    clk_in_p,
    clk_in_n,
    clk);
    input clk_in_p;
    input clk_in_n;
    output clk;
    // wires
    wire clk_ibuf_s;
    // instantiations
    IBUFGDS i_rx_clk_ibuf (
    .I (clk_in_p),
    .IB (clk_in_n),
    .O (clk_ibuf_s));
    BUFG i_clk_gbuf (
    .I (clk_ibuf_s),
    .O (clk));
    However as you can see from the attached screen shot the tool appears to allocate a IBUFDS and not a IBUFGDS as requested.  Is this a bug or do IBUFGDS need tobe allocated on different pins?
     I get the message 
    [Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
    < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets smBoard/axi_ad9467_0/inst/i_if/i_adc_clk/clk_ibuf_s] >
    smBoard/axi_ad9467_0/inst/i_if/i_adc_clk/i_rx_clk_ibuf (IBUFDS.O) is locked to IOB_X0Y244
    and smBoard/axi_ad9467_0/inst/i_if/i_adc_clk/i_clk_gbuf (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31
    This 
     

    
    Looks like snapshot is missing, can you try attaching it again?
    Thanks,
    Anusheel
    Search for documents/answer records related to your device and tool before posting query on forums.
    Search related forums and make sure your query is not repeated.
    Please mark the post as an answer "Accept as solution" in case it helps to resolve your query.
    Helpful answer -> Give Kudos
    -----------------------------------------------------------------------------------------------

  • Serious resolution issues with After Effects CC (2014) on Windows 8.1 Pro on Dell Precision M3800 laptop??

    My new company installed Adobe Creative Cloud (There was some annoying Proxy issues at first, because of the seriously tight I.T policies) but we are having some serious resolution issues with After Effects CC 2014 (also have this resolution problem with Adobe Premiere, Media Encoder, Muse) on Windows 8.1 Pro on a Dell Precision M3800 laptop with icons and interface looking too small and hard to see, is there a fix, an update or a work around, can anyone help?
    Any help will be appreciated!
    k.regards
    Ramon

    Hi Todd is there a time-frame for this fix, there is a lot of pressure on me, because I convinced my company to get the Creative Cloud and quite a lot of the CC software is not compatible with the latest Windows 8.1 OS.
    Is there at least a work around, until this big fix comes along?
    k.regards
    Ramon

  • Linear workflow issues with Media Encoder CC 2014

    I'm using After Effects CC 2014 and doesn't seem to be the option anymore to export via AE to H264. There used to be a button in the preferences to enable outdated codecs... not there anymore with CC 2014. So... I added my renders into Media Encoder. The problem is that it is not calculating my project working in Linear Workflow so all my renders are very dark & wrong colors.
    Anyone find a work around for this? is there a button in Media Encoder that I need to switch on for linear workflow?

    I've been validating in QT, but per your comment I opened up in VLC player as well. VLC player does playback the correct color. I attached SS of same lossless rendered video from AE open in AE, QT, VLC, and then the rendered H264 in QT & VLC  from AME in one screen shot. You can see that the H264 file from AME is always just a little bit off when opened in QT or Preview mode (OSX) or uploaded to Vimeo. The rendered H264 file is just the lossless file being encoded into H264 via AME.
    That's great that VLC player will playback the correct color, but when uploading to Vimeo, the color shifts as well during playback. I've never had this issue when rendering to H264 directly from AE before. I'm a little new to AME so I'm not sure if there is a setting I am missing or is there a limitation to the H264 codec where I've never noticed the slight color shift? Is there anyway to get a H264 file that will play back via Vimeo/QT without the color shift?

  • Flickering Issue with RGB Curves in Adobe Premiere CC (2014) version

    I've been an Adobe Premiere user since Premiere 6.5 and have never encountered any serious issues with the software. However in this most recent Creative Cloud update I am have a flickering issue when using RGB Curves, the color correction effect I use most often. When the effect is applied, there seems to be a shift in the shadows between a "crushed" black and a "flat, or faded" black every other frame. I've been using this latest update for about a week and haven't had any other issues aside from this one.
    Unfortunately this is huge issue at the moment due to a deadline I am facing in which RGB Curves has been used as the primary color correction tool on the entire project (multiple episodes). I would simply revert to a previous version of CC but I know that the new updates are never backwards compatible. Since the project has been saved in the CC (2014) update, reverting to previous software versions would be impossible. I am currently exploring exporting the XML from the CC (2014) projects and importing it into a previous version of the software.
    Please let me know if anyone out there is experiencing any similar issues or if there are any suggestions on how to solve this issue. Thanks!

    I am experiencing flickering issues as well. However my issues are with the Fast Color Corrector and the Three Way Color Corrector. It seems as if the color correction tools are causing intermittent flickering on some people's systems.
    Additionally when I converted some old PPCC 7.2.2 projects which had the Three Way Color Corrector applied, some clips in sequences would come up as a green screen in the program monitor. If I turned the TWCC off the footage would display correctly in the program window. If I turn the TWCC back on it would display the green screen again.
    I haven't found a solution to the flickering but to solve the green screen issue I have to remove the effect, then re apply it. I guess for whatever reason, the 2014 version of PP has issues with 7.2.2 projects where the TWCC was applied.
    I'm hoping Adobe will address this soon with an update for the various bugs that have been reported.
    PPCC 2014 8.0.1
    Win 7 Pro

  • Issues with mac pro 2014 and Adobe CC

    Having major issues with my New Mac Pro since installing 10.9.3.  The issues are with render in Adobe CC products and glitches on displays.
    Model Name:          Mac Pro
      Model Identifier:          MacPro6,1
      Processor Name:          12-Core Intel Xeon E5
      Processor Speed:          2.7 GHz
      Number of Processors:          1
      Total Number of Cores:          12
      L2 Cache (per Core):          256 KB
      L3 Cache:          30 MB
      Memory:          64 GB
      Boot ROM Version:          MP61.0116.B05
      SMC Version (system):          2.20f18
      Illumination Version:          1.4a6

    Well known issue and no solution yet. There is nothing wrong with your computer.  http://9to5mac.com/2014/05/21/os-x-10-9-3-breaking-mac-pro-graphics-card-compati bility-with-critical-pro-apps/

  • Experiencing issues with audio scrubbing in Premiere cc 2014

    Since upgrading I've been having issues with audio scrubbing in Premiere CC 2014.
    Some of the time it won't scrub at all (silence) when I know that scrubbing option is turned on. Other times it will scrub the wrong section of the timeline, I'll hear dialogue from a different point in a clip.
    Much of the time I experience this I'm working with multicam clips in sequences.
    Working on iMac 2013, 32GB RAM, NVIDIA 780
    thank you.

    Hi IP,
    Intensity pro wrote:
    ...Adobe are you listening?
    Yes, but it's Saturday. And I'm on vacation. You know??
    Sorry that we do not have 24-7 support. The best time to catch us is 7AM-7PM PST, Monday to Friday.
    Intensity pro wrote:
    Currently using / testing a 30 day trial of CC 2014 (CS5 user for years with no issues) and all was fine yesterday when editing. Turned on today and had no way to scrub audio. Also had no Intensity Pro external monitor which worked fine the day before. Restarted PC and still nothing so starting poking around with the playback settings in preferences by choosing Adobe Desktop audio in the audio device section choosing OK then selecting back to Blackmagic audio then hit Ok. All of a sudden there was my external monitor and was able to scrub audio again. It's like it's sleeping knowing it should work until you poke it a few times to wake it up. How my Intensity Pro external monitor and scrubbing audio being related in any way is perplexing. Or am I being obtuse?
    I would contact Blackmagic Design to make sure your drivers are current. Can you try that?
    Thanks,
    Kevin

Maybe you are looking for