Can we simulate a rs 232 bus using an fpga module

i would like to replace a communication bus (UART, baud rate - 200K) with FPGA card(7831). is it possible to simulate this one???

Hi,
Yes, check out the RS-232 Interface Using the LabVIEW FPGA module on the Developer Zone. You can also find additional examples and tutorials for the LabVIEW FPGA Module here. You may need to modify the application to get the specific rate that you need but that rate is attainable.
Good Luck
Mike

Similar Messages

  • How can I install (download) a Labview FPGA code onto Altera FPGA chip using Labview FPGA module?

    Hi there
    Guys i'm a very new labview user (PhD student), my project is about ( design and implementation of a high speed-yet sophisticated system using Labview environment then install this project's code (using Labview FPGA module) onto Altera-made FPGA chip).
    so kindly, can any body help me in this?...is there any way to connect labview with Altera FPGA?...please anything would be said 'd be of great benifits
    thanks a lot in advance.

    as previously mentioned, labview fpga only supports national instruments targets utilizing xilinx fpgas. the hdl generated by labview fpga is encrypted and cannot be used to synthesize a design outside the labview fpga design flow. 
    however, if you must use labview for your project, it might be possible for you to design the system in labview and use one of the labview embedded modules ( http://www.ni.com/embedded )to generate c code which you can then port to systemc and compile for the altera fpga. i'm not saying it will be easy, but it should be possible. 

  • Implementing Differential Equations using Labview fpga modules on PXI-7811R

    Dear,
        I am working on   implementing dynamic systems modeled ordinary  differential equations on PXI-7811R FPGA using labview FPGA. Is there any body who can help me how to implement differential equation on PXI devices uding Labview FPGA, please? Is there any integrator in Labview FPGA, module. I used Discrete Integerator in LAbview FPGA  module in Maths palette, but i hesistated if it really works . I really appreciate your help,
                                        Gammee

    Thank you for posting on the discussion forum.
    You can solve differential equations using LabVIEW FPGA but you may run into some significant difficulties in doing so. If you are not tied to using FPGA, you could try using LabVIEW real-time, which may make things much easier. But if you would like to stick with FPGA, see the link below for a list of examples that may be helpful:
    http://search.ni.com/nisearch/app/main/p/bot/no/ap/tech/lang/en/pg/1/sn/ssnav:ipn/q/differential%20e...
    Below is a link that you can review to better understand the functionality of the discrete integrator should you choose to go that route:
    http://zone.ni.com/reference/en-XX/help/371599B-01/lvfpga/discrete_normalized_integrator/
    I hope that helps.
    Best,
    Rachel
    Rachel D.
    Applications Engineer
    National Instruments

  • Can SES search webcenter content when content using ACL security module

    hi experts:
    My customer asked me if SES11g can search ecm11g with ACL security module? from SES document, seems SES crawler only support security grount/account module, the only way is by using fedarated search for ACL module? any comments on this?
    Thanks a lot!
    Best regards

    I'm trying to sort out a similar issue myself, and it looks like the answer is no.
    The Oracle SES Oracle Content Server connector supports the two most popular security models among current Oracle Content Server customers: Roles and Groups, and Accounts.
    http://docs.oracle.com/cd/E21698_01/admin.1122/e21605/cmsources009.htm#sthref479

  • Can't simulate Clocking Wizard in ISE 14.7

    Hi!
    I have developed a simple design for a VGA module where I need to produce a 40Mhz clock out of 100Mhz input for an Artix-7 (XC7A100T-CSG324) on an Digilent Nexys4 evaluation board. In order to aquire this clock I have used a Clock Wizard for a DCM. I have synthesise the desing, upload the bit file and everything works fine, as expected on the board.
    I would like to extend the design and add more functionality. I order to achieve this, I need a test bench in parallel that will help me to continue the work. I have started by implementing the simplest test bech just to make sure it works. iSim doesn't want to start and the errors suggests that it doesn't see all the ports of the DCM:
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 131: Module <DCM_default> does not have a port named <CLK_IN1>.
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 133: Module <DCM_default> does not have a port named <CLK_OUT1>.
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 134: Module <DCM_default> does not have a port named <CLK_OUT2>.
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 136: Module <DCM_default> does not have a port named <RESET>.
    ERROR:Simulator:778 - Static elaboration of top level Verilog design unit(s) in library work failed
     I don't understand what I'm doing wrong...the same code synthesize and produce succesfully the bit file and everything works fine but I can't simulate it. I'm using ISE WebPACK Design 14.7 (P.20131013).
    My test bench:
    module VGA_top_tb(
    reg clk = 1'b0;
    reg reset = 1'b0;
    initial begin
    #5 reset = 1;
    #30 reset = 0;
    end
    always begin
    #5 clk = !clk;
    end
    VGA_top VGA_DUT(
    //inputs
    .clk (clk),
    .reset_h (reset),
    .up_btn (1'b0),
    .down_btn (1'b0),
    .left_btn (1'b0),
    .right_btn (1'b0),
    //outputs
    .red (),
    .blue (),
    .green (),
    .hs (),
    .vs ()
    endmodule
    DCM code:
    `timescale 1ps/1ps
    (* CORE_GENERATION_INFO = "DCM,clk_wiz_v3_6,{component_name=DCM,use_phase_alignment=true,use_min_o_jitter=true,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=2,clkin1_period=10.000,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}" *)
    module DCM
    (// Clock in ports
    input CLK_IN1,
    // Clock out ports
    output CLK_OUT1,
    output CLK_OUT2,
    // Status and control signals
    input RESET,
    output LOCKED
    // Input buffering
    IBUFG clkin1_buf
    (.O (clkin1),
    .I (CLK_IN1));
    // Clocking primitive
    // Instantiation of the MMCM primitive
    // * Unused inputs are tied off
    // * Unused outputs are labeled unused
    wire [15:0] do_unused;
    wire drdy_unused;
    wire psdone_unused;
    wire clkfbout;
    wire clkfbout_buf;
    wire clkfboutb_unused;
    wire clkout0b_unused;
    wire clkout1b_unused;
    wire clkout2_unused;
    wire clkout2b_unused;
    wire clkout3_unused;
    wire clkout3b_unused;
    wire clkout4_unused;
    wire clkout5_unused;
    wire clkout6_unused;
    wire clkfbstopped_unused;
    wire clkinstopped_unused;
    PLLE2_ADV
    #(.BANDWIDTH ("HIGH"),
    .COMPENSATION ("ZHOLD"),
    .DIVCLK_DIVIDE (1),
    .CLKFBOUT_MULT (20),
    .CLKFBOUT_PHASE (0.000),
    .CLKOUT0_DIVIDE (20),
    .CLKOUT0_PHASE (0.000),
    .CLKOUT0_DUTY_CYCLE (0.500),
    .CLKOUT1_DIVIDE (50),
    .CLKOUT1_PHASE (0.000),
    .CLKOUT1_DUTY_CYCLE (0.500),
    .CLKIN1_PERIOD (10.000),
    .REF_JITTER1 (0.010))
    plle2_adv_inst
    // Output clocks
    (.CLKFBOUT (clkfbout),
    .CLKOUT0 (clkout0),
    .CLKOUT1 (clkout1),
    .CLKOUT2 (clkout2_unused),
    .CLKOUT3 (clkout3_unused),
    .CLKOUT4 (clkout4_unused),
    .CLKOUT5 (clkout5_unused),
    // Input clock control
    .CLKFBIN (clkfbout_buf),
    .CLKIN1 (clkin1),
    .CLKIN2 (1'b0),
    // Tied to always select the primary input clock
    .CLKINSEL (1'b1),
    // Ports for dynamic reconfiguration
    .DADDR (7'h0),
    .DCLK (1'b0),
    .DEN (1'b0),
    .DI (16'h0),
    .DO (do_unused),
    .DRDY (drdy_unused),
    .DWE (1'b0),
    // Other control and status signals
    .LOCKED (LOCKED),
    .PWRDWN (1'b0),
    .RST (RESET));
    // Output buffering
    BUFG clkf_buf
    (.O (clkfbout_buf),
    .I (clkfbout));
    BUFG clkout1_buf
    (.O (CLK_OUT1),
    .I (clkout0));
    BUFG clkout2_buf
    (.O (CLK_OUT2),
    .I (clkout1));
    endmodule
    Thanks!

    Hi Gabor!
    Thanks for your reply. I have noticed your observation that the naming of the DCM is different in the error message. I have re-name it before I post it in here but nothing changed. I have also tried "re-run all" to start the simulation but with no success. 
    In the end, I have manually removed the DCM instance and created a new one with the same parameters. This seems to solve the issue. Now works both on the board and in simulations. I'm not entirely sure what was the problem in order to avoid it next time.
    Thanks for your help!

  • How can we use SX_OBJECT_CONVERT_OTF_INTO_PDF function module IN SCRIPT

    Hi Gurus,
    can any one inform me,how to use  SX_OBJECT_CONVERT_OTF_INTO_PDF  function module in script..
    how can we convert  the script output into pdf file format....
    i need your help......plz
    my mail id : [email protected] 
    Thanks,
    Rajkumar.A
    Edited by: rajkumar annadurai on Feb 19, 2008 10:25 AM

    Hi, Dear
    In XI 3.0 we are tyring to setup SAPconnect;
    1 Step; Create RFC connection for Mlunxsnd file, anyway!!! we download thease file and create RFC connection; fine working no error
    2 Step: Create Nodes T-code SCOT => connection INT => and support address type like Internet its just show only "PDF, HTM, TXT" thats it its not show some others format
    3. Step; When check email T-code SOST Getting an error messages: "Connot process message in node, parameters cannot be converted"
    4. In R3 RPD and Others system when I check format its ok its show some others format which is support to be such as "ALI, OBJ, OTF, SCR, URL" ...
    How may install these format in XI 3.0
    Please Advice I will be really appriciated
    Thanks a lot
    Travis

  • How to use BAPI function module for maintaing product type to material

    Hi,
    Can you pls suggest me how to use BAPI Fuction module CCAP_REV_LEVEL_MAINTAIN
    for maintaining the product type for the material using change number.
    Pts will be rewarded.
    Best Regards,
    Padhy

    Hi,
    Can you pls suggest me how to use BAPI Fuction module CCAP_REV_LEVEL_MAINTAIN
    for maintaining the product type for the material using change number.
    Pts will be rewarded.
    Best Regards,
    Padhy

  • Whats the use  of function module RSAP_IDOC_SEND?

    Hi Gurus,
    Can anyone please let me know the use of Function Module - RSAP_IDOC_SEND.
    Regards
    Avi....

    Hi
    Check this...
    Send IDOC in parallel dialog process.
        CALL FUNCTION 'RSAP_IDOC_SEND'
             STARTING NEW TASK l_task
             PERFORMING callback_parallel_idoc ON END OF TASK
             EXPORTING
                  i_mestyp              = p_mestyp
                  i_rcvprt              = p_rcvprt
                  i_rcvprn              = p_rcvprn
                  i_flag_commit_work    = sbiwa_c_flag_on
                  i_s_rqstprms          = l_s_rorqstprms
             TABLES
                  i_t_idoc_data         = p_t_idoc_data
             EXCEPTIONS
                  system_failure        = 1
                  communication_failure = 2.
    <b>reward if Helpful</b>

  • How to use SD_VBAK_ARRAY_READ functional module

    hello
    can you please tell me how to use SD_VBAK_ARRAY_READ  functional module. that means what i have to pass and what i should get.
    Please help me

    Hi Ravi,
    i want to display vkorg,kunnr,and some other fields from the VBAK. User enters VKORG and some other fields but VKORG is compulsory.
    so i written the code like this.
    TYPES :BEGIN OF TEITAB_VBAK,
           VKORG type VBAK-VKORG,
           KUNNR TYPE VBAK-KUNNR,
           VBELN TYPE VBAK-VBELN,
           AUDAT TYPE VBAK-AUDAT,
           VDATU TYPE VBAK-VDATU,
           ERNAM TYPE VBAK-ERNAM,
           END OF TEITAB_VBAK.
    DATA: ITAB_VBAK TYPE TABLE OF TEITAB_VBAK,
          WA_VBAK TYPE TEITAB_VBAK.
    SELECT-OPTIONS: s_VKORG FOR P_VKORG OBLIGATORY,         " Sales Organization
                    s_KUNNR FOR P_KUNNR,         " Sold-to party.
                    s_VBELN FOR P_VBELN,         " Sales Document.
                    s_MATNR FOR P_MATNR,         " Material number.
                    s_AUDAT FOR P_AUDAT,         " Document Date (Date Received/Sent).
                    s_VDATU FOR P_VDATU,         " Requested delivery date.
                    s_ERNAM FOR P_ERNAM,         " Name of Person who Created the Object.
                    s_VKAUS FOR P_VKAUS.         " Usage indicator.
    SELECT VKORG KUNNR VBELN AUDAT VDATU ERNAM
    FROM VBAK
    INTO CORRESPONDING FIELDS OF TABLE ITAB_VBAK
    WHERE VKORG IN S_VKORG AND AUART EQ 'ZRA'.
    CALL FUNCTION 'SD_VBAK_ARRAY_READ'
    EXPORTING
      I_BYPASSING_BUFFER          = ' '
      I_REFRESH_BUFFER            =
      TABLES
        IT_VBAK_KEY                 =
      ET_VBAK                     =
    EXCEPTIONS
      RECORDS_NOT_FOUND           = 1
      RECORDS_NOT_REQUESTED       = 2
      OTHERS                      = 3
    IF SY-SUBRC <> 0.
    MESSAGE ID SY-MSGID TYPE SY-MSGTY NUMBER SY-MSGNO
            WITH SY-MSGV1 SY-MSGV2 SY-MSGV3 SY-MSGV4.
    ENDIF.
    so i confused where to call this fucntional module and what to pass. That means call this functional module before the select query or after the select query and what to pass.
    i am new in ABAP.
    Please help me to solve this problem .

  • Can i simulate a Resistance,Capacitor and transistor without using hardwarekit

    I am beginner and my professor said to me "i have to simulate a full wave rectifier and an AMPLIFIER which is using a transistor".
    how can i simulate these components without using hardwarekit and any other program
    please help me!!! this is for my thesis.

    LabVIEW offers you many mathematical functions that you can use to simulate the behavior of an electronic component. Most of the functions you need are directly accessible from the Numeric palette. For example a full wave rectifier can be simulated (as a first pass) using the Absolute Value primitive. A half wave rectifier using the Max-Min function in the Comparison palette. A diode characterisitic can be simulated using the exponential (or logarithm) function (Numeric>>Logarithm) to simulate the I <--> V transfer characterisitic and so on.
    So looking at the charactersitics of your component in the datasheet, you can reproduce the behavior by building a model that reproduces the transfer functions shown in the datasheet. A transistor will be a little more
    complicated and also include current <--> voltage conversion (simulating a resistance) but in your simulation it is simply a "gain" (multiplication).
    Goog luck but first of all ... have fun.

  • Did software update. iphoto won't open "You can't open your current photo library using this version of iPhoto

    did the usual software update on my mac (older)
      Model Name:          iMac
      Model Identifier:          iMac4,1
      Processor Name:          Intel Core Duo
      Processor Speed:          2 GHz
      Number Of Processors:          1
      Total Number Of Cores:          2
      L2 Cache:          2 MB
      Memory:          2 GB
      Bus Speed:          667 MHz
      Boot ROM Version:          IM41.0055.B08
      SMC Version (system):          1.1f5
      Serial Number (system):          QP6300JZU2S
      Hardware UUID:          00000000-0000-1000-8000-0016CB8A01BF
    when I open iphoto, says
    You can’t open your current photo library using this version of iPhoto. You have made changes to your photo library using a newer version of iPhoto. Please quit and use the latest version of iPhoto.
    software is up to date.  can't even access pics. 
    can this computer run the maverick version of iphoto?

    What system and iPhoto versions  do you have currently and what versions did you have before the software update?  What did you update?
    OT

  • Can anyone tell me the how to use the "ducking" process in Logic Pro 8?

    Howdy,
    I've tried following the directions in the manual but I just can figure out the steps necessary to use the ducking process in Logic 8. For those who aren't sure what ducking is it's for instance, when you want a guitar track's volume to be reduced when a vocal track is active. As an example, there is a way to trigger the volume reduction in the guitar track(s) using a compressor in the vocal track. Whenever the singer is singing it automatically reduces the volume in the guitar track. I'm just not sure how to do this. Any help with the steps involved would be greatly appreciated.
    Thanks,
    Mark

    Well, the theory is you run say, the mix , through the 'ducker' or as I like to call it, the 'motherducker', then you simply sidechain say, a kick drum. That is to say, you route your kick to a bus or aux channel, preferably routed to a different output, then assign that bus or aux as your sidechain input in the ducker. The theory is that the through signal will duck on receipt of the sidechained kick.
    Frustratingly, this ducker is the buggiest thing since a bug landed on a buggy while loading it into the back of a VW bug. It just doesn't work. well it works for a bit and then stops working. Or the kick comes through the ducker as well. Or some other manifestation of complete crapness. Come on Apple, sort it out - it's the sound that everybody wants!
    Sorry Mark L1

  • Sun idm adapter for Enterprise Service Bus (Using MQ)

    Hi
    Can you please let me know if any sun idm adapter support Enterprise Service Bus (Using MQ) ?
    Thanks

    As answer on question 1:
    No I never found any documentation from SAP that described a ESB solution. So al the knowledge must come from other vendors in your case from Sonic.
    But there is hope you can use XI in a ESB way. You must only (add) configure the ESB parts. This is done by adding a service repository for example the UDDI server in combination with some BPML engine.
    By adding it al together you can execute your business processes over multiple system platforms using SOAP in a synchronic way.
    This is the basic concept of a ESB.
    The answer to question 2.
    I don’t know if XI has build in support, but a know that there are free tools that can handle Canonical XML. So you can make a service your self to handle the Canonical XML documents.

  • Create Oracle Service Bus using BEA workshop

    Can someone please help with BEA Workshop developer guide/tutorial detailing how to create Oracle Service Bus using workshop IDE.
    IDE - BEA Workshop 10gR3
    OSB version - Oracle Service Bus 10gR3
    Thank you

    Thanks for your response Eric.
    The tutorials that I've seen so far deal with OSB console, none of them talk about creating OSB using BEA-workshop.
    On workshop IDE there is some help on OSB workshop plug-ins, but those are mostly one liners about the plug-in features
    Please advice if you've link to OSB-Workshop tutorial.
    Thanks

  • How to simulate a DAQ device and use it for testing applicatio​ns without hardware??​?

                            how do i simulate a DAQ device
    in MAX and use it for testing applications without  hardware???
    If my application requires inputs
    from external hardware to proceed how can I simulate a DAQ device in LabVEIW?
    i need to simulate several Digital inputs and Outputs to test the software. currently i dont have the hardware and DAQ device here. 
    please reply with your valuable suggestions. 
    many thanks in advance
    RENN 
    Kudos always welcome for helpful posts
    Solved!
    Go to Solution.

    Hi,
          There is no option to simulate traditional device.You need hardware for that so i would suggest to simulate a PXI device which has similar configuration to PCI-6014 and to do a small modification to your application..

Maybe you are looking for

  • Wake-Up Problems, cold restart?

    for the past few weeks, if i closed my laptop (put the screen down), it went to sleep as usual, but then once i opened it back up, i would say more than 75% of the time, this gray cast, maybe 50% gray would sweep over the display from top to bottom.

  • My Presonus Firebox is not working for me!

    Hey so i just bought a macbook pro in the hopes of starting recording right away. When i plug in my firebox it is read by garageband and i have the choices of mono inputs 1-6 and stereo inputs 1/2, 3/4, and 5/6 so i know that i has been read by garag

  • How can i download and use a trial version of acrobat

    how can i download a trial version of acrobat?

  • Auto Delegate and Auto Remove Task

    Hi all, I am new on the BPM domain. Now i got some problem working on it. First, could i set auto delegate in UWL page like what normal mailbox can do. Second, if i forget to handle the task in UWL, and approve a purchase order in ECC, for example, c

  • Finding zero crossings

    Hello, I am looking for a VI to find zero crossings of a given vector. Thanks for your answer. michael