Connect MMCM with differentia input to differential clock on VC707

Hi All,
I am trying to connect my design ( 40 MHz ) to the MMCM after connecting MMCM input to the 200 MHz LVDS differential clock (on E18, E19 of VC707). I am using constraints from the Master Constraints File Listing:-
set_property PACKAGE_PIN E19 [get_ports clk_in_p]
set_property PACKAGE_PIN E18 [get_ports clk_in_n]
set_property IOSTANDARD LVDS [get_ports clk_in_p]
set_property IOSTANDARD LVDS [get_ports clk_in_n]
The top level module (PO_Estimation_Subsystem.vhd) has (clk_in_p, clk_in_n) which are connected to the MMCM and also the external pins (E18, E19) and the output clock from the MMCM is later connected to my design.
From the clock wizard GUI and also from the output files (clk_wiz_0.vhd, clk_wiz_0_clk_wiz.vhd), it is clear that the required buffers are already instantiated, but you can double check it.
I currently have the following errors:-
[DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port clk_in_p is Single-Ended but has an IOStandard of LVDS which can only support Differential
[DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port clk_in_n is Single-Ended but has an IOStandard of LVDS which can only support Differential
* I read similar posts to differential clocks and related application notes, but I still can't figure out what is the problem here:-
http://www.xilinx.com/support/answers/57109.html 
http://www.xilinx.com/support/answers/38656.html

Hello ,
The problem is in PO_Estimation_Subsystem.vhd file. You should declare output port for this module in order to successfully implement your design. As an example, your entity should look like 
ENTITY PO_Estimation_Subsystem IS
PORT (clk_in_p : IN std_logic;
clk_in_n : IN std_logic;
clk_out : out std_logic
END PO_Estimation_Subsystem;
One more problem is in the port names of instantiation of clk_wiz_0 in top level module. You need to replace it with -
component clk_wiz_0
port
(-- Clock in ports
clk_in1_p : in std_logic;
clk_in1_n : in std_logic;
-- Clock out ports
clk_out1 : out std_logic;
-- Status and control signals
reset : in std_logic;
locked : out std_logic
end component;
Do the necessary modifications in port-mapping names as well. I am attaching modified file for your reference.
Note : You must declare the output in the entity in order to place the design successfully.
 

Similar Messages

  • Scope differential clock

    Hello,
    I am working with Vivado 2015.1 and a ZC706 board. I want to scope the differential clock that comes as input to pins W8 (SMA_MGT_REFCLK_P) and W7 (SMA_MGT_REFCLK_N).
    I have contstrained the pins as follows in the xdc file:
    set_property PACKAGE_PIN W8 [get_ports {mgt_ref_clk_clk_p}]
    set_property PACKAGE_PIN W7 [get_ports {mgt_ref_clk_clk_n}]
    create_clock -period 6.400 -name TS_MGT_REF_CLK [get_ports {mgt_ref_clk_clk_p}]
    In order to scope the clock, I have added a 1-bit counter to the block diagram that will be clocked by the mgt_ref_clk. This is a part of my block design in Vivado:
    The "mgt_ref_clk" is an "interface port" of type "xilinx.com:interface:diff_clock_rtl:1.0":
    My problem is that I can not understand how to connect the "mgt_ref_clk" port to the utility buffer in order to create a single-ended clock that will be connected to the counter.
    EDIT1: I tried the following connections (create simple input ports as clock signals):
    However Vivado reports the following error:
    [Vivado 12-1411] Cannot set LOC property of ports, Illegal to place instance base_zynq_design_i/util_ds_buf_2/U0/USE_IBUFDS.GEN_IBUFDS[0].IBUFDS_I on site W8. The location site type does not match the instance type. ["C:/Work/AXIOM/WorkingDirectory/axi_dma_fifo/project_1.srcs/constrs_1/new/base_zynq_design_wrapper.xdc":15]
     What does it mean "The location site type does not match the instance type"?
    Thanks,
    Dimitris
     

    Hello Austin,
    Thanks for your reply. Since I am using the Aurora core, I will try to debug it.
    In the Aurora v10.0 manual (page 74, chapter 4), I saw that there is an option called "Vivado lab tools":
    However, when I try to configure my Aurora core, I get this window that is missing this option (!):
    I tried to scroll down, mark other options in case it appears, but nothing. Do you know if this is a bug or am I missing something?
    Thanks,
    Dimitris
     

  • How to connect Digital Optical Audio output iMac with Receiver Input

    I have connected iMac with onkyo hts-3300 home theater system receiver through optical audio TosLink Cable.( http://www.amazon.com/Digital-Optical-Audio-TosLink-Cable/dp/B001ZU3G2I/ref=sr12?ie=UTF8&qid=1292865309&sr=8-2 ). Unfortunately there is no sound coming out from the Home theater system. I have verified the Receiver optical input is working fine by connecting with my TV optical audio digital out put and the it's working great. The only difference between TV and iMac is, on my TV, it has optical audio digital output and I can use this cable (http://www.amazon.com/Tripp-Lite-A102-02M-Digital-connectors/dp/B0002WPREU/ref=s r11?s=electronics&ie=UTF8&qid=1297320792&sr=1-1) to make it work. I can also verified my optical audio TosLink Cable working because I can see the red light coming out from the cable. So the only problem could be from iMac. I doubt I need to change the additional setting to configure iMac to use external optical audio setting instead of the buit in audio setting. Hoe can I able to do it? or my iMac(IMAC 21.5"/3.06/2X2GB/500GB/9400M-ITP
    MB950ZP/A) doesn't support optical digital audio out put? I have follow this thread http://discussions.apple.com/thread.jspa?messageID=12769423&#12769423 and to my surprise which doesn't work out for me. I doubt that i need to change some setting, but when I got to setting>> sound I cannot change any setting. I was quite depressed aldy. Can someone answer my question as i m a newbie here. Thanks a lot

    Thank you very much for your reply.
    @Király : May i know how to Open Audio Midi Setup? Is this Audio Midi Setup is in the locatated in the Setting in Mac Snow Leapord OS? or how to locate this "Audio Midi Setup " in Mac enviroment. Is it possible to open this Audio Midi Setup in windows 7 enviroment also? I Just want to get the audio from my iMac in Hts-3300 onkyo home theatre. YOur help is much appreciated
    @rkaufmann87 : Thank you very much for your sharing about this http://www.apple.com/airportexpress/ connection. for me what i understand is the digital audio output in airportexpress is the same as the audio out put in iMac in terms of details sepcs. So this airportexpress will only help you connect wirelessly to home theatre system, rather than waired connection. Other than that nothig much difference. So there is no point for me to spend extra bucks to attain the wireless connection. Unless the airportexpress have optical digital input, which i believe not. Correct me if I m worng, thanks

  • How to connect S5000 tablet to projector with HDMI input?

    Is S5000 tablet possible to connect to projector with HDMI input. I tried the adapter micro USB to HDMI but the projector can't detect the tablet. Is there a settings in the tablet?

    Well not had time to really play about, but I thought that miracast was based on widi and I was wondering if the software update would upgrade the widi version number.
    Funny thing is that they can see each other and I'm allowed to input the auth code but it seems as if it tmes out on trying to establish a connection.
    Could my router be preventing the connection? There doesn't seem to be many config on the TV or tablet on set up.

  • 400MHz DDR3 MIG with 25MHz input clock

    I’m generating a memory controller to interface our DDR3 with x16@400MHz and I need to clarify the clocking configuration of the MIG.
    From ug388 I’ve understood that the controller has a PLL to generate the necessary clocks and the MIG generates the default parameters (mult/div) assuming that the input clock is the same as that of the DDR bus, so 400MHz. I have 25MHz as input to the PLL. Ug388 states that we need to modify the following parameters to set the correct clocking for a different input:
    C1_CLKFBOUT_MULT
    C1_DIVCLK_DIVIDE
    C1_CLKOUT0_DIVIDE (for sysclk_2x)
    C1_CLKOUT1_DIVIDE (for sysclk_2x_180)
    C1_CLKOUT2_DIVIDE (for user clock)
    C1_CLKOUT3_DIVIDE (for calibration clock)
    From my understanding sysclk_2x is two times the DDR bus clock, so in this case 800MHz (seems extremely high for a Sp6!). Anyway the only way I can do this is to have
    C1_CLKFBOUT_MULT = 32 (Generate the 800MHz)
    C1_DIVCLK_DIVIDE = 1 (Generate the 800MHz)
    C1_CLKOUT0_DIVIDE = 1; 800MHz sysclk_2x
    C1_CLKOUT1_DIVIDE = 1; 800MHz sysclk_2x_180
    C1_CLKOUT2_DIVIDE = 4; (for user clock at 200MHz)
    C1_CLKOUT2_DIVIDE = 8; (for calibration clock at 100MHz)
    Is this correct? To be honest I’m worried about the 800MHz, but this is my interpretation from ug388. Also I’m worried about generating an 800MHz from a 25MHz source, wouldn’t jitter be a problem?
    I also find it strange right away for the MIG to assume by default an input (user) clock of 400MHz, as this is a very high frequency for a spartan6…
    Too many doubts, looking forward for your answers. Thanks!

    Hi
    I agree that is it strange to choose memory clock as input clock by default , it is improved in later versions like 7 series and Ultrascale but for older devices this is still a limitation.
    You should be able to generate 800 Mhz with out any issues, you can cross check in clocking wizard for jitter etc.,
    There should be an AR with more details on how to change the input clock for MCB,  but looks removed from web.
    Here is its content 
    "To modify the clocking setup to create the necessary MCB clocks from a different input clock frequency or to adjust the user or calibration clock frequencies, the following PLL parameters can be adjusted at the top level of the MIG example or user design:
    Cx_CLKFBOUT_MULT
    Cx_DIVCLK_DIVIDE
    Cx_CLKOUT0_DIVIDE (for sysclk_2x)
    Cx_CLKOUT1_DIVIDE (for sysclk_2x_180)
    Cx_CLKOUT2_DIVIDE (for user clock)
    Cx_CLKOUT3_DIVIDE (for calibration clock)
    where "x" represents the MCB block number.
    Cx_MEMCLK_PERIOD is mapped to the CLKIN1_PERIOD of the PLL and is also used to determine a number of other parameters defined in mcb_raw_wrapper.v/vhd. So, an additional input clock parameter should be used to specify the input clock frequency and it should be mapped to the CLKIN1_PERIOD of the PLL (instead of Cx_MEMCLK_PERIOD). This has already been included in MIG v3.6 so that users do not need to do this in the future.
    There are two options to determining the correct values for the other parameters listed above:
    Use the Clocking Wizard found in the Xilinx CORE Generator (Coregen) tool to determine the appropriate parameter settings based on the desired input and output clock frequencies for the PLL. Choose "Manual Selection" and the "PLL_BASE" primitive on the opening dialogue page to ensure that a PLL is used. Only the above parameter values produced by the Clocking Wizard should be transferred back into the MIG design; no other output from the Clocking Wizard is needed. The Clocking Wizard also determines the resulting output jitter from a specific PLL configuration that can be used to validate the main MCB system clocks against the memory device input clock jitter requirements.
    Refer to the PLL chapter in the Spartan-6 FPGA Clocking Resources User Guide (UG382) to verify the proper settings of the above parameters for the desired input and output clock frequencies for the PLL: http://www.xilinx.com/support/documentation/user_guides/ug382.pdf. This method requires a better understanding of such aspects as keeping the PLL VCO operating frequency within the specification.
    In addition to providing the parameter values for the various output clocks in design top module, the following changes are required to reflect to the MIG rtl environment:
    1. UCF changes
    MIG generates the clock constraints in the UCF for the design frequency provided in the MIG GUI. When the input clock frequency is changed, users need to change the design frequency in the UCF. In the below constraint, modify the period value.
    NET "memc5_infrastructure_inst/sys_clk_ibufg" TNM_NET = "SYS_CLK5"; TIMESPEC "TS_SYS_CLK5" = PERIOD "SYS_CLK5" 5 ns HIGH 50 %;
    2. Testbench (sim_tb_top.v/.vhd) Changes
    MIG provides the clock generation logic in the simulation testbench (sim_tb_top module) for the design frequency provided in the GUI. This logic needs to be modified to reflect the new input clock frequency:
    Original Verilog Code:
    always #(C3_MEMCLK_PERIOD/2) c3_sys_clk = ~c3_sys_clk;
    Modified Verilog Code:
    Instead of using the parameter C3_MEMCLK_PERIOD/2 in the above logic, the bit time period value needs to be provided. For example, for input clock frequency of 50MHz (20000 ps), C3_MEMCLK_PERIOD/2 should be replaced with a value of 10000. After making this change, thecode looks like the following:
    always #10000 c4_sys_clk = ~c4_sys_clk;
    Original VHDL Code:
    process
    begin
    c1_sys_clk <= not c1_sys_clk;
    wait for (C1_TCYC_SYS_DIV2);
    end process;
    Modified VHDL Code:
    For an input clock frequency of 50MHz, code looks as follows:
    process
    begin
    c1_sys_clk <= not c1_sys_clk;
    wait for (10 ns);
    end process;"
     Hope this helps
    -Vanitha

  • Connect statement with input string in a script

    I would like to enter a password for each connect statement after I start my.sql
    my.sql
    connect scott/&p_password@orcl;
    grant SELECT to peter on table_1;
    SQL>@my.sql
    SQL> connect scott/&p_password@orcl;
    Please enter a value for p_password: grant SELECT to peter on table_1;
    invalid option.
    using: CONNECT <username> [AS SYSDBA|SYSOPER]
    SQL> ...
    Sql accept the grant statement after the connect statement as an input value.
    What must I change in my script???
    Best regards,
    Tom Rakete
    Message was edited by:
    Tom Rakete

    Are you trying to start your script from within SQL*Plus?
    Afraid no. Because the prompt "Please enter a value for ..." sounds suspicious.
    C:\my.sql
    conn max/&p_password@pdoxora
    grant select on test to public;Copy-paste from SQL*Plus:
    SQL> @C:\my.sql
    Enter value for p_password: *******
    Connected.
    Grant succeeded.In other words, SQL*Plus prompts "Enter value", not "Please enter a value".
    So what tool are you using?
    Regards,
    Andrew.

  • How to connect DAQ6013 with CB-68LPR with 555 timer

    I need help to connect the CB-68LPR with 555 timer.
    There is only input and a output
    The input is V+,V- which is supply by a DC power supply
    The output is output of the 555 timer.

    Timer,
    Below, I have included a link to the user manual for the NI 6013. Chapter 4 details the procedures for connecting signals to this device. If you are using the NI 6013 in differential mode, you will connect ACH0 and ACH8 to the circuit from which you want to acquire analog input data. These correspond to terminals 68 and 34 on the CB-68LPR connector block, respectively. ACH0 should be connected to the positive signal, and ACH8 should be connected to the negative signal. After you have made this connection, you should be able to acquire a signal from your circuit with analog input channel 0 in the MAX Test Panel.
    NI 6013/6014
    User Manual
    Spencer S.

  • Measuring PWM duty cycle with Analog Input

    Hello folks,
    I have a NI 9205 module and was wondering if there was a way to measure the duty cycle of a PWM signal that I am generating with a separate microcontroller?  I have found a lot of examples with Digital inputs but not analog.. Is this possible?
    Thank you,
    Bob

    Hi Robert,
    I'm not sure what that error is, try searching for it.
    If you want to measure a PWM signal you would usually use a counter input.  A counter is a dedicated piece of hardware that is used to measure digital signals.  Since the NI 9205 is an analogue device and it doesn't have any counters onboard, you will not be able to select counter input.
    I would recommend you get the right tools for the job and buy a digital acquisition device with an onboard counter.  It will make reading PWM much easier for you.  The NI 6008 has a counter onboard and is only £99.  It will also offload processing from software to hardware since you don't have to calculate duty cycle.
    If you still want to use analogue post back and we can look into why its not working for you.  Tips to start you off: Voltage is the correct setting and  Look in the manual and make sure you have connected it like you have configured it (differential, RSE, NRSE page 14)
    Lewis Gear CLD
    Check out my LabVIEW UAV

  • How to connect JSP with MySql Database?

    HI All...
    I want to know or How to connect Mysql with JSP or JSF any other software is available? please help me.....

    I want to know or How to connect Mysql
    with JSP or JSF any other software isavailable?
    please help me.....First you need to find 25 m of a CatV cable and...The DB files need to be located on the ninth device of a SCSI Daisy Chain with the total SCSI cable length being over 150 m (and the devices (and cables) need to be mix of Differential and Non-Differential).
    Edit: And forget the terminator, who needs it?

  • How to connect portal with msaccess database

    we have to develop oracle portal applications with msaccess as a back end.how to connect portal to access.
    thanks in anticipation.

    I want to know or How to connect Mysql
    with JSP or JSF any other software isavailable?
    please help me.....First you need to find 25 m of a CatV cable and...The DB files need to be located on the ninth device of a SCSI Daisy Chain with the total SCSI cable length being over 150 m (and the devices (and cables) need to be mix of Differential and Non-Differential).
    Edit: And forget the terminator, who needs it?

  • How can I play music on my macbook pro (OSX Lion) through a Pioneer receiver. I've connected them with a mini display port to HDMI cable with audio support bought at the Apple Store, but there's no sound coming through. thanks

    How can I play music on my macbook pro (OSX Lion) through a Pioneer receiver? I've connected them with a mini display port to HDMI cable with audio support bought at the Apple Store, but there's no sound coming through. There are 4 HDMI-in ports on the receiver - BD, DVD, DVR/BDR and audio. In my system preferences for sound, the receiver doesn't show up as an output option. Can anyone please advise. (ps, this is my first time posting a question, so I hope I can find your answers!) thanks, JP downunder

    For sound, try the audio output port and connecting to the RCA  audio input ports on your Pioneer receiver.  Use something like this:
    http://eshop.macsales.com/item/OWC/PODCONNECTOR/
    Ciao.

  • How can i connect 24VDC to digital input (usb 6008)

    hello,
    how can i connect 24VDC to digital input (usb 6008), is it possible with some optocoupler?
    thanks

    The 6008 is a static DIO, so speed shouldn't be an issue.  Therefore an optocoupler should work.  Just make sure you get one the meets your specs.
    There are only two ways to tell somebody thanks: Kudos and Marked Solutions
    Unofficial Forum Rules and Guidelines

  • Hi, I'm using a Mac Pro here. I am trying to connect it with my NAS hard drive. But i could not connect to it because i have an ethernet which connects to the intranet in my company and Air Port for the internet.

    Hi, I'm using a Mac Pro here. I am trying to connect it with my NAS hard drive. But i could not connect to it because i have an ethernet which connects to the intranet in my company and Air Port for the internet and it could not detect the the ip address after i input the correct address on "Connect to Server" window. Please help! Urgent! Thanks

    I did not say it is not possible.
    I said if your company networks are monopolizing both ports, you should talk to them about what options are available.
    Maybe you can get the company Intranet over wireless if you change some configuration items such as Subnet Mask.
    Maybe you can get on the Internet over Ethernet.
    You really need to ask them, and do not let them blow you off because you have a Mac. If they balk, ask them how it would be done on a PC (becasue it will be the same on a Mac).

  • Connect interface with XML File in jdeveloper

    Hello;
    i want to design a webservice that take an employee ID , and return all employee's data (like his name ,departement, phone,email....)
    i want to make interface and connect it with XML file wich has all employees data
    how can i connect the interface ( input text box and output button) with XML file (taking the Id and searching inside the file and getting the data out in table as output)
    i want to deploy it to get the WSDL file and URL to deploy it at application server to use it in webcenter>>>

    up 2 find an answer
    i want the right way to do it?

  • Cannot connect to Smart Tee input pin...

    Hello i have some problem with the picture on my TV@nywhere card it actually started when i upgraded my DivX version 5 something too 6.2.2 ... The image is all black but i can still hear the sound And this message appear when i start Tv@anywhere plus "  Cannot connect to Smart Tee input pin..."
    Can someone please help me 

    No i was hoping i didnt need to... But i guess i have no choice 

Maybe you are looking for

  • Using a local testing server

    I am using DW MZ 2004. Fairly new at game but not a complete novice. I am trying to test my site in the browser. I am using the Manage Sites Advanced utility. Currently these are the details for my site: Local Info: Site Name: BKSolutions Local Root

  • When I turn on my MacBook pro, I get the 'macintosh he's symbol. Then, at my login, certain keys (I,e,u) get an error sound, and I can't log in. Anyone know what's up?

    Oh, I'm on my phone because if the problem, so I put the whole question in the title. But, the  problem is that my computer acts like I've got the alt key down   I start up, and gives me an error sound when I hit certain keys (e i, u, and a few othes

  • HT201066 Combing clips in Quicktime - help!

    Hi, I would like to combine several audio clips in Quicktime. Following the directions here on the website doesn't work because when I go to View > Show Clips, this option is in grey and I can't select it. Am I doing something wrong? Thanks!

  • Greek font word for mac 2011?

    I have been attempting to download a greek font with diacritics. I have been using Ismini, which worked with word 2008, but the symbol function no longer supports the diacritics. Help would be appreciated!

  • Flash Builder and SWC hell!

    So there must be an easier way to get Flash Builder to see the updates in a SWC library other than; project clean, refresh, restart FB, refresh, clean.. hope for the best.. repeat.. hope for the best... might work this time... Other than cancelling m