Counter not counting encoder over RTSI

Hi all,
I have a PCI-7358 (motion card) and a PCI-6602 (counter timer) both connected together with a RTSI cable. I have then configured an axis in MAX to route the encoder over RTSI-0 (Phase-A) & RTSI-1 (Phase-B). Then I added and configured the RTSI cable in MAX and added the PCI-6602 as its device (see MAX-1.jpg). Then with the appropriate motor / axis spinning I run the PCI-6602 test panel and configure as Edge counting and RTSI0 (see MAX-2.jpg), then I expect to see the counter increment – but it does not. Could it be something to do with mapping on the counter card? Am I missing something? Any ideas?
-Martin
Message Edited by Martin.D on 06-05-2007 08:22 PM
Certified LabVIEW Architect
Attachments:
MAX-1.JPG ‏161 KB
MAX-2.JPG ‏209 KB

Hi Martin,
We were finally able to get all the equipment needed to replicate this issue.  My colleague in the states saw the exact behavior that you described about not being able to route Axis 7 and 8 to RTSI in MAX. This has been documented and will be investigated in due course.
In the meantime, if you do need to eventually route Axis 7 and 8's signals to RTSI, then you can do this programatically in LabVIEW using the Select Signal VI.  When we use this VI to route Axis 7 and 8's Phase A signal to RTSI, it works correctly, and we see the PCI-6602's test panels increment when counting the corresponding RTSI line.
Thanks,
Kirtesh Mistry
National Instruments UK & Ireland

Similar Messages

  • How do I read DAQ at every state change on a quad encoder over RTSI

    I have successfully routed either A or B encoder phase to the DAQ card over RTSI, but this gives you 1/4 of your encoder counts to the DAQ. Is there a way to trigger the DAQ clock with both the rising and falling edges, A and B signals so you get a DAQ reading with every encoder count?

    mikema111,
    From your explanation I am assuming that you are using an X4 encoder. Unfortunately there isn't a way to combine both Phase A and Phase B (rising and falling edges) into a DAQ scan clock without external circuitry.
    However, one possibility would be to use a sort of XOR circuit to merge the two phases into one signal and then pass that signal into one of your analog input channels. You could then setup that analog input channel for windowed analog input triggering. As the TTL pulse rises through the window an AI Start Trigger pulse is generated and then another pulse is generated as it passes back down through this window. Pass the AI Start Trigger pulse into a counter setup for retriggerable single pulse generation and you will have your DAQ scan cloc
    k on the output pin of the counter.
    If you are just interested in counting the pulses in both Phase A and Phase B you can configure one counter to count on the rising edge and the other on the falling edge as described in the following Knowledge Base:
    http://digital.ni.com/public.nsf/websearch/15170E05F0F4B65C86256E2400812CD9?OpenDocument
    I also recommend reading the following document that discusses several different options when using a quadrature encoder with an E Series board (DAQ-STC).
    http://zone.ni.com/devzone/devzoneweb.nsf/Opendoc?openagent&36BD71244BB26FC886256869005E541B
    Ames
    Applications Engineering
    National Instruments

  • Need to use quadrature encoder to trigger (RTSI) single point DAQ on 2 channels of E-Series DAQ, using 6602 NI-TIO for counting encoder pulses.

    This is for LV6i, W2000, all PCI equipment.
    Using a quadrature-measure position-VI, I get 7200 edges/rev from the encoder of my physical system. This equates to 0.05 degrees of angular displacement. This amounts to an angle stamp as opposed to a time stamp.
    I need each of these 7200 edges (source: 6602 NI-TIO) to trigger (using RTSI) the acquisition of a single sample from each of 2 channels on an E-Series DAQ board (maybe more channels later). I only need/want one rev (7200 samples per channel) of data for each run of the test. As I write this I think I want pre-triggering and a little more than a rev of data. So the
    re is a buffering step. Anyway, you can get the idea.
    I need this angle stamp and the DAQ samples to be placed in an array and on the hard drive for graphing and other mathematical treatment, analysis, etc.
    I think there must be a way to use the quadrature output of the counter/timer as a scan clock for the DAQ board, but I haven't seen an example to guide me.
    It seems like all of the RTSI or other triggering examples I have seen trigger once to start a continuous scan, not a series of discrete samples repeated quickly. I am not sure how to fill an array with this data. Again, examples are for continuous sampling, not a series of discrete readings.
    Any hints on any part of this task will greatly appreciated. This is my first LV project.

    Sounds like a fairly ambitious first project!
    I assume your 7200 edges/rev come from an encoder with 2 channels in quadrature which each provide 1800 cycles/rev. You can clock in analog data at 1800 scans/rev with either of the two encoder channels, but will probably need an external quadrature decoder circuit to produce 7200 scans/rev. Either method can be done with screwdriver and wire or else by using another counter from the 6602 and the RTSI bus. Here are two approaches in detail, but you could mix-and-match as needed.
    Note also that if you can be sure that your reference encoder will be uni-directional, you wouldn't need to measure position -- position could be determined by the array index of the analog scan data. This would simplify things greatly.
    1800 scans/rev, screwdriver & wire
    Wire both encoder channels to your 6602 breakout box and configure your counter for the 4x quadrature option. Send a wire from one of the encoder channel connections at your 6602 breakout box to a PFI pin at your E-series board breakout box. Config the analog acquisition to use an external scan clock and specify the correct PFI pin -- there are built-in examples that will guide you. Now one edge of one encoder channel acts as a scan clock for your analog acquisition. Inside the 6602 breakout box, route the same signal to one of the default gate pins and configure your encoder counter gate to use that pin as its gate signal. Note that there will be a race condition governing whether the encoder value updates from the encoder inputs before or after the value is latched by the gate.
    7200 scans/rev, extra counter & RTSI
    Make sure you have a RTSI connector between your two acquisition boards inside your PC. Build a quadrature decoder circuit that will convert your two encoder channels into a clock and direction output. (Consider the LSI 7084 decoder chip or similar). Setup your "encoder" counter for buffered position measurement. Use "Counter Set Attribute" to define "up down" as "digital" (don't use it to define "encoder type"). The clock output goes to the counter SOURCE and the direction output goes to the counter UP_DOWN pin.
    Use "Adjacent Counters.vi" to identify the counter considered adjacent to your encoder counter. Configure it for "retriggerable pulse generation". Use "Counter Gate (NI-TIO).vi" to specify "other counter source" as the gating signal. Configure the output pulse specs to be short duration (make sure total of delay + pulse width is less than the minimum period of the incoming encoder clock signals). Use "Route Signal.vi" to send this counter's output onto the RTSI bus, say RTSI 0.
    Now configure the analog acq. to use RTSI 0 as its external scan clock. Also configure the encoder counter to use RTSI 0 as its gate signal. Voila! Now your quadrature decoder clock output acts as a scan clock for analog acquisition and a "gate" to buffer your encoder measurement. The short delay helps ensure that the clock updates the position measurement before the gate fires to latch the value.
    Respond if you need clearer explanation. There's a fair amount of decent info "out there" if you scour the online help and this website. Good luck!

  • Count Distinct over a Window

    Hi everyone,
    An analyst on my team heard of a new metric called a "Stickiness" metric. It basically measures how often users are coming to your website overtime.
    The definition is as follows:
    # Unique Users Today/#Unique users Over Last 7 days
    and also
    # Unique Users Today/#Unique users Over Last 30 days
    We have visit information stored in a table W_WEB_VISIT_F. For the sake of simplicity say it has columns VISIT_ID, VISIT_DATE and USER_ID (there are several more dimensional columns it has but I want to keep this exercise simple).
    I want to create an aggregate table called W_WEB_VISIT_A that pre-aggregates the three values I need per day: # Unique Users Today, #Unique users Over Last 7 days and #Unique users Over Last 30 days. The only way I can think of building the aggregate table is as follows
    WITH AGG AS (
    SELECT
    VISIT_DATE,
    USER_ID
    FROM W_WEB_VISIT_F
    GROUP BY
    VISIT_DATE,
    USER_ID
    select
    VISIT_DATE
    COUNT(DISTINCT USER_ID) UNIQUE_TODAY,
    (select count(distinct hist.USER_ID) from agg hist where hist.VISIT_DATE between src.VISIT_DATE - 6 and src.VISIT_DATE) SEVEN_DAYS,
    (select count(distinct hist.USER_ID) from agg hist where hist.VISIT_DATE between src.VISIT_DATE - 29 and src.VISIT_DATE) THIRTY_DAYS
    from agg
    group by visit_date
    The problem I am having is that W_WEB_VISIT_F has several million records in it and I can't get it the above query to complete. It ran over night and didn't complete.
    Is there a fancy 11g function I can use to do this for me? Is there a more efficient method?
    Thanks everyone for the help!
    -Joe
    Edited by: user9208525 on Jan 13, 2011 6:24 AM
    You guys are right. I missed the group by I had in the WITH Clause.

    Hi,
    Haven't used the windowing clause a lot, so I wanted to give a try.
    I made up some data with this query :create table t as select sysdate-dbms_random.value(0,10) visit_date, mod(level,5)+1 user_id
    from dual
    connect by level <= 20;Which gave me following rows :Scott@my10g SQL>select * from t order by visit_date;
    VISIT_DATE             USER_ID
    03/01/2011 13:17:10          1
    04/01/2011 05:30:30          4
    04/01/2011 08:08:13          5
    04/01/2011 14:42:24          3
    04/01/2011 20:20:58          3
    05/01/2011 17:29:24          2
    05/01/2011 17:40:20          4
    05/01/2011 18:32:56          2
    06/01/2011 04:12:53          5
    06/01/2011 08:59:18          2
    06/01/2011 09:04:26          3
    06/01/2011 10:14:20          1
    06/01/2011 14:22:54          1
    06/01/2011 19:39:04          1
    08/01/2011 14:44:18          5
    08/01/2011 21:38:04          5
    11/01/2011 04:56:05          4
    11/01/2011 18:52:29          2
    11/01/2011 23:57:30          4
    13/01/2011 07:24:22          3
    20 rows selected.I came up to that query :select
            v.*,
            case
                    when unq_l3d is null then -1
                    else trunc(unq_today/unq_l3d,2)
            end ratio
    from (
            select distinct trcdt, unq_today, unq_l3d
            from (
                    select
                    trcdt,
                    count(user_id)
                    over (
                            order by trcdt
                            range between numtodsinterval(1,'DAY') preceding and current row
                    ) unq_today,
                    count(user_id)
                    over (
                            order by trcdt
                            range between numtodsinterval(3,'DAY') preceding and current row
                    ) unq_l3d
                    from (
                            select distinct trunc(visit_date) trcdt, user_id from t
    ) v
    order by trcdtWith my sample data, it gives me :TRCDT                UNQ_TODAY    UNQ_L3D RATIO
    03/01/2011 00:00:00          1          1  1.00
    04/01/2011 00:00:00          4          4  1.00
    05/01/2011 00:00:00          5          6  0.83
    06/01/2011 00:00:00          6         10  0.60
    08/01/2011 00:00:00          1          7  0.14
    11/01/2011 00:00:00          2          3  0.66
    13/01/2011 00:00:00          1          3  0.33
    7 rows selected.where :
    - UNQ_TODAY is the number of distinct user_id in the day
    - UNQ_L3D is the number of distinct user_id in the last 3 days
    - RATIO is UNQ_TODAY divided by UNQ_L3D +(when UNQ_L3D is not zero)+
    It seems quite correct, but you would have to modify the query to fit to your needs and double-check the results !
    Just noticed that my query is all wrong*... must have been missing coffeine, or sleep.... but I'm still trying !
    Edited by: Nicosa on Jan 13, 2011 5:29 PM

  • Counter roll over

    I wonder what to do when  counter roll over.
    Do anyone have some examples what to do?
    Thanks.

    It looks like you're trying to measure the frequency of your encoder signal using the 6251?  Your software-timed loop (code in your other thread) isn't the best way to go about this since the software timing is non-deterministic.  I think you should follow Stefo's advice in this thread to use a period measurement, which wouldn't give you the rollover problem.  I don't think there's a need for you to use both the A and the B signals, instead just measure the frequency of either A or B using the shipping example.
    Alternatively, you could generate a sample clock to sample your encoder task deterministically to determine the frequency by subtracting consecutive samples.  You still can't reset the counter, but you can certainly account for the overflow in software.  In fact, if you typecast the data to U32 (you can actually read the data as U32 with the DAQmx API) before the subtraction, the overflow would take care of itself (0 - 4294967295 = 1 if the data is U32).
    Best Regards,
    John Passiak

  • Counter roll-over when measuring period

    Hi,
    I'm using PCI-6251 counter 0 to continuously measure the period of a signal, and I notice there are occasionally  error readings. Since the counter can roll over when it reaches 2^32-1, I need to know what will happen to the period measurement when counter roll overs. Would anyone please help me?
    Thanks.
    David

    The count value will simply wrap-around back to 0 and then continue to increment.  I can think of a few ways to handle this:
    1. Specify a slower timebase for the measurement.  If you go from, say, 80 MHz to 100 kHz, it'll take 800 times as long a period to cause rollover.  This method is pretty simple to implement, but not all apps can accept the loss in precision.
    2.  You can use a 2nd counter to be sensitive to the 1st counter's "terminal count".  This gets a bit complex, so you may need to do more research on some of the terms that follow.
    An internal pulse signal is generated on the board when the 1st counter rolls over from 2^32-1 back to 0.  It's commonly known as "terminal count" or TC.  You'll need to configure a 2nd counter that also measures periods of your external signal, but which uses those TC pulses as its timebase signal.  You may need to fiddle with the "Duplicate Count Prevention" property on the 2nd counter so that it will buffer values of 0 during the majority of intervals where no TC pulse occurs.  During the intervals where rollover happens, the 2nd counter will count how many times it happens.
    You would further need the 2 counters to be started off a common "arm start" trigger to keep their data sync'ed.
    3.  Software method.  You can query the DAQmx Channel property "Counter Input -> General Properties -> More -> Terminal Count Reached".  When you get a 'True' output, the property resets such that subsequent calls will return 'False' until the next rollover occurs.  The problem here is trying to correlate this software-detected rollover with a specific period in the 1st counter's measurement buffer.  You'd probably also need to be querying and tracking the DAQmx Read property for Total Samples Acquired both before and after the TC event.  Even then you might not always be able to determine unambiguously which interval had the TC event.
    -Kevin P.

  • I have moved old Back Up files from my external hard drive to the trash and as the Trash previews the files, i.e. counts them (over 850,000 files) it then begins to delete but then stops. Is it possible to move the files out of the Trash file and then onl

    I have moved old Back Up files from my external hard drive to the trash and as the Trash previews the files, i.e. counts them (over 850,000 files) it then begins to delete but then stops. Is it possible to move the files out of the Trash file and then only delete a small amount at one time?

    See
    Deleting backups via the Finder on Lion 10.7.x or later:
    http://pondini.org/TM/12.html
    Hold option (alt) while emptying the Trash.
    See also
    http://pondini.org/TM/E6.html
    Message was edited by: WZZZ

  • On my iPad mini, my inbox number count is over 500 even though the inbox. Is empty. All accounts do this on my iPad but all my other device are fine. Problem showed up two weeks ago???

    On my iPad mini, my inbox number count is over 500 even though the inbox. Is empty. All accounts do this on my iPad but all my other device are fine. Problem showed up two weeks ago???

    Hi Peter,
    Thanks for participating in the Apple Support Communities.
    It sounds like the Mail app on your iPad mini is showing that you have over 500 unread email messages, but your inbox is actually empty.
    There are several steps I'd use to troubleshoot this situation.
    First, restart your iPad to see if it clears up the symtom:
    Restart or reset your iPhone, iPad, or iPod touch - Apple Support
    Press and hold the Sleep/Wake button until the red slider appears.
    Drag the slider to turn your device completely off.
    After the device turns off, press and hold the Sleep/Wake button again until you see the Apple logo.
    If this doesn't correct the behavior, try removing and re-adding the affected email accounts next:
    Get help with Mail on iPhone, iPad, and iPod touch - Apple Support
    Delete the affected email account from your device.
    Tap Settings > Mail, Contacts, Calendars.
    Tap the affected email account.
    Tap Delete Account.
    Add your account again.
    If the same thing happens after re-adding the account, you may need to use the steps below to reinstall iOS. You may want to back up your iPad to iTunes before completing this step.
    Make sure that you have iTunes 12 or later on your computer.
    Put your device in recovery mode.
    When you get the option to restore or update, select Update. This will reinstall iOS without erasing your data.
    From:
    If your iPhone, iPad, or iPod touch doesn't respond or doesn't turn on - Apple Support
    All the best,
    Jeremy

  • Synchronous 4xAI, 3xAO over RTSI

    Hello!
    My task is to control a hardware with 3 AO channels an read back produced data at 4 (minimal 1) AI channel simultaniously. I´ve got two PCI-cards: PCI NI 6711 for one of the AO channels and a PCI NI 6110 for the rest. Both are connected over RTSI. I trigger the output with the start-trigger of the input task and the samplerate is the same. When i use my written VI with a predefined amount of samples and readout the written and the read samples they are not equal (the readout is to small!). The hardware input buffer of the PCI NI 6110 is only 8192 samples. I need to readout 262144 (512x512) samples per second. I suggest i´m in trouble with the readout speed an the buffer size?! The frequencies for the output signals should be 256 Hz, 2 Hz and 1Hz (for the on on the NI 6711). Is there a way to manage this? If there is only the possibility to use one input channel under this conditions its also ok..but it must be possible because another programm we use uses the SAME hardware. Ok so far i hope you can help me... if you need more information of my programmed VI´s please tell me.
    So far thanks,
    Michael
    Solved!
    Go to Solution.
    Attachments:
    2 - Main.JPG ‏250 KB

    Hello Michael,
    your code is a bit complex, but it seems to me that there is no timing declared for the Z.piezo output task. You might want to check on that first...
    Secondly, the onboard FIFO buffer of the NI-6110 is only used for buffering the DMA transfer to your computer's RAM, where a larger buffer will be used to buffer the measured values until they are requested by and copied to the application (here: LabVIEW). So buffer size itself should not be an issue with your application. Just configure the AI task to 262144 finite samples and you should be fine.
    I do see some other problems:
    a) you cannot acquire with exactly 262144 S/s on the PCI-6110 without an external clock signal generator. The closest internal sample frequency that you can set is 263157.9 S/s (= 20MHz base clock / 76). As this is a bit faster than the frequency that you expected, input and output signals will not be coherent, if your output is really clocked at 1 S/s, 2 S/s or 256 S/s. The easiest solution for this issue would be to share the sample clock between AI and AO, which would result in an AO sample rate of e.g. 513.98 S/s instead of 512 S/s.
    b) reading your approx. 260 kS/s in blocks of 512 samples will certainly cause some CPU load, as the loop will have to iterate in less than 2ms. With file I/O in this loop, I cannot guarantee you that this will work. A possible solution might be to use a task configured for finite samples and a FOR loop to read these 262144 samples into a pre-initialized array (replacing its values block for block as you iterate through the loop) and to save them to disk afterwards. 260k samples equal 2 megabytes of RAM for each ai channel (when using an array of DBL), which is quite small compared to today's computers memory sizes.
    My assumption is that you are losing samples (which would also cause an error code -200279) because your while loop iterates to slow. With the implementation mentioned above, you should be able to solve this issue.
    Best regards,
    Sebastian

  • I can not make document over two times

    Hello.
    When I use the code generated by xmlclassgen_v1_0_0_2, I can not
    make document over two times in same class. I modified sample
    code(TestWidl.java) that I got from OTN
    (xmlclassgen_v1_0_0_2.zip) to check this problem. I modified it
    like below. The only modification is that I made XML data two
    times. The first call was success, but the second call was
    failed. The result of my test program was below:
    How can I avoid this error? Would you please help me?
    *** Result ****
    <
    ?xml version = '1.0' encoding = 'ASCII'?>
    <!DOCTYPE WIDL SYSTEM
    "file:/D:/usrs/ikeda/java/myprojects/XML_test1/WIDL_dtd.txt">
    <WIDL NAME="WIDL1" VERSION="1.0">
    <SERVICE NAME="Service1" URL="Service_URL" INPUT="File"
    OUTPUT="File"/>
    <BINDING NAME="Binding1" TYPE="Input">
    <REGION NAME="Region1" START="Start" EN
    D="End"/>
    <VARIABLE NAME="Variable1" NULLOK="False" TYPE="String"
    USAGE="Internal" VALUE="value"/>
    <CONDITION REF="CRef1" MATCH="CMatch1" SERVICE="Ser
    vice1" TYPE="Success"/>
    <VARIABLE NAME="Variable2" NULLOK="True" TYPE="String1"
    USAGE="Header"/>
    </BINDING>
    <BINDING NAME="Binding2" TYPE="Output">
    <CONDITION REF="CRef2" MATCH="CMatch2" TYPE="Retry"/>
    <VARIABLE NAME="Variable3" NULLOK="False" TYPE="String2"
    USAGE="Function" MASK="mask"/>
    </BIN
    DING>
    </WIDL>
    oracle.xml.parser.XMLDOMException: Node doesn't belong to the
    current document
    oracle.xml.parser.XMLDOMException: Node doesn't belong to the
    current document
    at oracle.xml.parser.XMLNode.checkDocument(Compiled
    Code)
    at oracle.xml.parser.XMLNode.appendChild(Compiled Code)
    at oracle.xml.parser.XMLDocument.appendChild(Compiled
    Code)
    at oracle.xml.parser.XMLNode.appendChild(Compiled Code)
    at oracle.xml.classgen.CGDocument.<init>(Compiled Code)
    at XML_test1.WIDL.<init>(Compiled Code)
    at XML_test1.TestWidl.test(Compiled Code)
    at XML_test1.TestWidl.main(TestWidl.java:11)
    The sample code that I modified was below:
    import oracle.xml.classgen.*;
    import oracle.xml.parser.*;
    public class TestWidl
    public static void main (String args[])
    test(); // I modified
    test(); //I modified
    static void test(){
    try
    WIDL w1 = new WIDL();
    DTD dtd = w1.getDTDNode();
    w1.setNAME("WIDL1");
    w1.setVERSION(WIDL.VERSION_1_0);
    SERVICE s1 = new SERVICE("Service1", "Service_URL");
    s1.setINPUT("File");
    s1.setOUTPUT("File");
    BINDING b1 = new BINDING("Binding1");
    b1.setTYPE(BINDING.TYPE_INPUT);
    BINDING b2 = new BINDING("Binding2");
    b2.setTYPE(BINDING.TYPE_OUTPUT);
    VARIABLE v1 = new VARIABLE("Variable1",
    VARIABLE.NULLOK_FALSE);
    v1.setTYPE(VARIABLE.TYPE_STRING);
    v1.setUSAGE(VARIABLE.USAGE_INTERNAL);
    v1.setVALUE("value");
    VARIABLE v2 = new VARIABLE("Variable2",
    VARIABLE.NULLOK_TRUE);
    v2.setTYPE(VARIABLE.TYPE_STRING1);
    v2.setUSAGE(VARIABLE.USAGE_HEADER);
    VARIABLE v3 = new VARIABLE("Variable3",
    VARIABLE.NULLOK_FALSE);
    v3.setTYPE(VARIABLE.TYPE_STRING2);
    v3.setUSAGE(VARIABLE.USAGE_FUNCTION);
    v3.setMASK("mask");
    CONDITION c1 = new CONDITION("CRef1", "CMatch1");
    c1.setSERVICE("Service1");
    c1.setTYPE(CONDITION.TYPE_SUCCESS);
    CONDITION c2 = new CONDITION("CRef2", "CMatch2");
    c2.setTYPE(CONDITION.TYPE_RETRY);
    CONDITION c3 = new CONDITION("CRef3", "CMatch3");
    c3.setSERVICE("Service3");
    c3.setTYPE(CONDITION.TYPE_FAILURE);
    REGION r1 = new REGION("Region1", "Start", "End");
    b1.addNode(r1);
    b1.addNode(v1);
    b1.addNode(c1);
    b1.addNode(v2);
    b2.addNode(c2);
    b2.addNode(v3);
    w1.addNode(s1);
    w1.addNode(b1);
    w1.addNode(b2);
    //w1.validateContent();
    w1.print(System.out);
    catch (Exception e)
    System.out.println(e.toString());
    e.printStackTrace();
    null

    Satoshi Ikeda (guest) wrote:
    : Hello.
    : When I use the code generated by xmlclassgen_v1_0_0_2, I can
    not
    : make document over two times in same class. I modified sample
    : code(TestWidl.java) that I got from OTN
    : (xmlclassgen_v1_0_0_2.zip) to check this problem. I modified
    it
    : like below. The only modification is that I made XML data two
    : times. The first call was success, but the second call was
    : failed. The result of my test program was below:
    : How can I avoid this error? Would you please help me?
    : *** Result ****
    : <
    : ?xml version = '1.0' encoding = 'ASCII'?>
    : <!DOCTYPE WIDL SYSTEM
    : "file:/D:/usrs/ikeda/java/myprojects/XML_test1/WIDL_dtd.txt">
    : <WIDL NAME="WIDL1" VERSION="1.0">
    : <SERVICE NAME="Service1" URL="Service_URL" INPUT="File"
    : OUTPUT="File"/>
    : <BINDING NAME="Binding1" TYPE="Input">
    : <REGION NAME="Region1" START="Start" EN
    : D="End"/>
    : <VARIABLE NAME="Variable1" NULLOK="False" TYPE="String"
    : USAGE="Internal" VALUE="value"/>
    : <CONDITION REF="CRef1" MATCH="CMatch1" SERVICE="Ser
    : vice1" TYPE="Success"/>
    : <VARIABLE NAME="Variable2" NULLOK="True" TYPE="String1"
    : USAGE="Header"/>
    : </BINDING>
    : <BINDING NAME="Binding2" TYPE="Output">
    : <CONDITION REF="CRef2" MATCH="CMatch2" TYPE="Retry"/>
    : <VARIABLE NAME="Variable3" NULLOK="False" TYPE="String2"
    : USAGE="Function" MASK="mask"/>
    : </BIN
    : DING>
    : </WIDL>
    : oracle.xml.parser.XMLDOMException: Node doesn't belong to the
    : current document
    : oracle.xml.parser.XMLDOMException: Node doesn't belong to the
    : current document
    : at oracle.xml.parser.XMLNode.checkDocument(Compiled
    : Code)
    : at oracle.xml.parser.XMLNode.appendChild(Compiled Code)
    : at oracle.xml.parser.XMLDocument.appendChild(Compiled
    : Code)
    : at oracle.xml.parser.XMLNode.appendChild(Compiled Code)
    : at oracle.xml.classgen.CGDocument.<init>(Compiled Code)
    : at XML_test1.WIDL.<init>(Compiled Code)
    : at XML_test1.TestWidl.test(Compiled Code)
    : at XML_test1.TestWidl.main(TestWidl.java:11)
    : The sample code that I modified was below:
    : import oracle.xml.classgen.*;
    : import oracle.xml.parser.*;
    : public class TestWidl
    : public static void main (String args[])
    : test(); // I modified
    : test(); //I modified
    : static void test(){
    : try
    : WIDL w1 = new WIDL();
    : DTD dtd = w1.getDTDNode();
    : w1.setNAME("WIDL1");
    : w1.setVERSION(WIDL.VERSION_1_0);
    : SERVICE s1 = new SERVICE("Service1", "Service_URL");
    : s1.setINPUT("File");
    : s1.setOUTPUT("File");
    : BINDING b1 = new BINDING("Binding1");
    : b1.setTYPE(BINDING.TYPE_INPUT);
    : BINDING b2 = new BINDING("Binding2");
    : b2.setTYPE(BINDING.TYPE_OUTPUT);
    : VARIABLE v1 = new VARIABLE("Variable1",
    : VARIABLE.NULLOK_FALSE);
    : v1.setTYPE(VARIABLE.TYPE_STRING);
    : v1.setUSAGE(VARIABLE.USAGE_INTERNAL);
    : v1.setVALUE("value");
    : VARIABLE v2 = new VARIABLE("Variable2",
    : VARIABLE.NULLOK_TRUE);
    : v2.setTYPE(VARIABLE.TYPE_STRING1);
    : v2.setUSAGE(VARIABLE.USAGE_HEADER);
    : VARIABLE v3 = new VARIABLE("Variable3",
    : VARIABLE.NULLOK_FALSE);
    : v3.setTYPE(VARIABLE.TYPE_STRING2);
    : v3.setUSAGE(VARIABLE.USAGE_FUNCTION);
    : v3.setMASK("mask");
    : CONDITION c1 = new CONDITION("CRef1", "CMatch1");
    : c1.setSERVICE("Service1");
    : c1.setTYPE(CONDITION.TYPE_SUCCESS);
    : CONDITION c2 = new CONDITION("CRef2", "CMatch2");
    : c2.setTYPE(CONDITION.TYPE_RETRY);
    : CONDITION c3 = new CONDITION("CRef3", "CMatch3");
    : c3.setSERVICE("Service3");
    : c3.setTYPE(CONDITION.TYPE_FAILURE);
    : REGION r1 = new REGION("Region1", "Start", "End");
    : b1.addNode(r1);
    : b1.addNode(v1);
    : b1.addNode(c1);
    : b1.addNode(v2);
    : b2.addNode(c2);
    : b2.addNode(v3);
    : w1.addNode(s1);
    : w1.addNode(b1);
    : w1.addNode(b2);
    : //w1.validateContent();
    : w1.print(System.out);
    : catch (Exception e)
    : System.out.println(e.toString());
    : e.printStackTrace();
    Move
    WIDL w1 = new WIDL();
    out of test() and modify it as
    public class TestWidl
    static WIDL w1 = new WIDL(); ...
    and this will work.
    Oracle XML Team
    http://technet.oracle.com
    Oracle Technology Network
    null

  • Dvd studio pro 4 won't write disc? it just says there was an error during encoding over and over again...

    It just says that there was an error encoding over and over again. It is version 4.2.2 It said the software required a dvd- which i used... I've tried just backing up the video to a disc not using studio pro but my computer won't do it? Any suggestions?

    David's right.  Do the encode in compressor and bring the resulting m2v (video) and ac3 (audio) into dvdsp.  Then do an advanced burn:  build in dvdsp  and test the build using the mac dvdplayer to play the video_ts folder you've created.   Just go to file:  Open DVD Media and point to the build folder or the video_ts folder within it.  If this works, your dvd burner is probably the problem.  You can buy an external burner for not a lot of money.  Apple sells one, and you can even get cheaper ones than that.

  • Header and footer of RTF template not getting carried over to Excel output

    We have Header and Footer in RTF template defined. The output of the report will be in Excel. When we run the report, the header and footer on the report are not getting carried over to excel has header and footer, instead the header and footer are printed as first line on the excel. Also, the RTF template is set to landscape but when the output is generated in excel, it is set to Portrait

    hi..
    chk this presentation...
    http://csc-studentweb.lrc.edu/swp/Berg/articles/NW2004s_what_is_new.ppt#352,3,Why NW 2004s?
    http://www.sap-hefte.de/download/dateien/1090/086_leseprobe.pdf'
    hope this helps...

  • External and internal mikes are not automatically switching over either recording or on voice calls

    My laptop model name is HP Pavilion dv4-1100ea which is shipped with Vista Home premium 32 bits and has got service pack 1.
    Restored the laptop to factory setting since then I am having the following problems; I had the same problem when my laptop was brand new and whenever I reset the laptop to factory setting I get the following problems:
    1. External and internal mikes are not automatically switching over either in middle of the recording using sound recorder or while the call in progress on voice calls (skype):
       Using the sound recorder if I start recording the sound with external  mike  and in-between  recording if I  switchover from external mike to inbuilt mike and later on when I play back I can only hear the sound  up till where I used the external mike during recording, after the switchover to inbuilt mike I cant hear any sound.
       But if I start the recording with inbuilt mike and in between recording  if I plug in  the external mike and later on when I play back I can only hear the sound up till where I used the inbuilt mike during recording , after the switchover to external mike I cant hear any sound.
       So in brief both my external and internal mikes are working fine, only problem is that if I start recording (using sound recorder) or voice call with one specific mike, I have to continue with it till the end. I can’t switchover to another mike in between the conversation (voice call) or recording, if I do so, I have to select the mike manually in chat software but while recording I cant even select manually because in laptop, it takes the mike whichever is in current use as default mike in recording tab(sound window). The green tick automatically (in recording device tab) switches over according to the use of mike. Though the green tick in the recording tab is switching over automatically according to use of mikes, its not picking up the sound after switch over during recording.
    2. And also when I click on recording device tab in sound window and plug in external mike, though the green tick automatically switchovers from internal to external mike, while I speak both internal and external mikes volume meter respond to the sound inputs by rising and falling but if I take out external mike, green tick goes to internal mike and when I speak only internal mike volume meter respond to sound rising up and down not the external mike.
    To resolve the issue I have tried following steps with no luck:
    1.I have checked the mikes(internal and external) properties, the both mike shows to be enabled in general tab, in level tab the volume is set to 100 and in advanced tab , all options are selected.
    2. In device manager I have got only one audio driver named as “IDT High definition Audio CODEC”. I have uninstalled the audio driver and reinstalled it using scan for hardware option
    3. Uninstalled the audio driver in device manager and reinstalled the audio driver using recovery manager > advanced option> hardware driver re-installation.
    3. I have updated the BIOS(Insyde F.65, 12/02/2010).
    4. I tried to update the audio driver using below link but things went more worse so I did system restore (not factory setting though).
    http://h10025.www1.hp.com/ewfrf/wc/softwareDownloadIndex?softwareitem=ob-67051-1&lc=en&dlc=en&cc=us&...

    Sounds like you need to upgrade to the iPhone 5s
    The 5s has Touch ID
    You can unlock your phone with your finger instead of typing in a key code
    No swiping to unlock either, just touch the home button
    You can enrol multiple fingers as well
    Here is a video of it in action
    http://www.apple.com/iphone-5s/videos/#video-touch
    Or wait and see what iPhone 6 has to offer
    That being said, as desiel vdub posted if the phone is up to your face, the proximity sensor should turn the screen off
    And when you lower the phone turn it back on again
    Not sure about the phone locking when your on a call doesn't sound right

  • Accrual Balance not getting carried over

    For one of my client's employees, the mid-year accrual balance is not getting carried over.
    08-JUL-2008 is the hire date of the employee. The client has end-dated the accrual plan element entry for this employee on 23-NOV-2009 and created a new one (for the same accrual plan) from 24-NOV-2009. The balance is getting carried over from 2008 to 23-NOV-2009, but NOT from 24-NOV-2009 to 31-DEC-2009.
    08-JUL-2008 TO 31-DEC-2008 - Accrual Balance is 19.828 days.
    01-JAN-2009 TO 23-NOV-2009 - Accrual Balance carried over from 2008 is 19.828 days.
    24-NOV-2009 TO 31-DEC-2009 - Zero Accrual Balance is getting carried over.
    Also, the client has run the PTO Carry Over process thru’ out for all the years. But no help there.
    I just noticed that the accrual plan 'Annual Leave Plan 41 Days' and the respective balances, elements, links etc., were created in the system on 19-DEC-2009. Could this be the reason why the net entitlement balance is not getting carried over to 2009?
    Would appreciate any pointers in this regard.
    Thanks
    Regards
    Trupti

    Hi,
    Are you running the carryover process for both the plans. Can you share the accrual carry over for the new plan. As you have said the elements and links are created as on 19-DEC-2009, the balance should be carried over for the days between 19-DEC-2009 to 31-DEC-2009 if you are doing the partial accrual.
    Thanks

  • Data is not Base64 encoded - error when starting Weblogic connecting to IS

    I get the following error trying to connect to Identity Server from weblogic 7.0 via the weblogic java policy agent from Sun:
    java.lang.ExceptionInInitializerError: java.lang.RuntimeException: Data is not Base64 encoded.
    I have set the encode cookie to true on both the policy agent side and the Identity Server side. I have also restarted both, but still receiving this error.
    Has anyone had similar problems? Any soluitions?

    Hello,
    I am getting the same error for a tomcat policy agent . Ihave installed Identity server on Solaris 9 and Tomcat 4.1.27 on the same machine. I set followinf property in policy agent's AMAgent.properties-
    com.iplanet.am.cookie.encode=true
    However, it doesn't help :-(
    what else is to be done? The documentation says that modify AMConfig.properties file on the client side for this property. Howveer, i do not have AMConfig fil on tomcat side. so i modified AMAgent.property.
    Is that ok?

Maybe you are looking for

  • InDesign file not opening on Mac

    One of my indesign file crashed on couple of occasion and now it is failing to open. I deleted InDesign prefs files but that doesn't seem to work. Is there some way I can manage to open the file? OS X 10.8.4 InDesign CC, CS5 and CS6

  • Jdeveloper 2 startup error

    hello, when i start JDEveloper2 ( JDK 1.2), i got "JFC look and feel error can't find resources for javax.swing.plaf.basic.resources.basic_zh_CN it is fine with JDK1.1.7 i am running Pan Chinese version of NT4. null

  • When I try and play movies from iTunes, iTunes shuts down

    When I go to play a movie, iTunes stops working.  I've uploaded all upgrades and the latest version of iTunes...any thoughts?  Windows XP desktop.

  • Can't enter shell scripts in Automator?

    When adding the "Run Shell Script" action to a workflow in Automator, I can't actually type anything in the text box -- when I try to type something, I just get a bunch of seemingly random characters. Does anyone else see the same behaviour or is the

  • IPhone 5 USA/France

    Bonjour, Je vais prochainement déménager aux Etats Unis mais je serais amener à revenir souvent en France; savez vous si un iphone 5 acheté aux USA fonctionne en France ? Je n'ai pas vraiment envie d'avoir 2 téléphones ! Merci