Delay before consuming

Hi Guys!
I am very new to JMS. I have a problem. I need to send two messages to a consumer and the consumer sends both the messages to the end-user. But my requirement is to have two minutes delay between the two messages. Due to some reason I cannot use Thread.sleep. Is there any other way in JMS, like; the consumer consumes one message immediately and the other message after two minutes?
Regards,
Kashif

Hi Guys!
I am very new to JMS. I have a problem. I need to send two messages to a consumer and the consumer sends both the messages to the end-user. But my requirement is to have two minutes delay between the two messages. Due to some reason I cannot use Thread.sleep. Is there any other way in JMS, like; the consumer consumes one message immediately and the other message after two minutes?
Regards,
Kashif

Similar Messages

  • When I get an alert from my car sat nav the music on my iphone has a long delay before it comes back on and misses a part of the track why does it do this.

    When I get an alert from my car sat nav the music on my iphone has a long delay before it comes back on and misses a part of the track why does it do this.
    It only seems to do this since an iphone download last year.......its driving me mad !

    See:
    Recovering your iTunes library from your iPod or iOS device: Apple Support Communities
    To copy iTunes purchases to the computer you have to log into (authorize) the account that purchased them and them transfer
    iTunes Store: Transferring purchases from your iOS device or iPod to a computer
    When associating a device with an Apple ID see the following regarding the 90 day limit.

  • How to change number of retries and delay before retrying after software install failure

    I deploy a software to client, but the installation is fail, so it will retry. but How to change the number of retries and delay before retrying after software install failure?
    I find "Retry Settings" in "Software Distribution Component Properties". But I think it work for Distribution, not the installation. am I right?

    First, are you referring to packages or applications because the behavior is different.
    Also, why do you want it to retry a failure? It failed for a reason so you need to fix the cause of the failure first. If it failed the first, it will likely fail the next time for the same reason. In general, ConfigMgr will only retry on transient type
    errors.
    Jason | http://blog.configmgrftw.com

  • Delay before the Delete option is active after selecting messages

    Previously I could select numerous messages (Command-A) and immediately press the Delete button in the Mail menu bar to delete the selected emails.
    Since the update, there is now a delay from the time the messages are selected until when the Delete icon is active and can be clicked. It stays grayed out for maybe a second or two, like it's still processing the previous select all command. Although not a big deal, it's worth noting there was no delay before the update.

    You ask:
    So, how can I do that please?
    But you have already answered:
    selecting the photos on Album view, then pressing CMND OPTION DELETE, then CNTRL-CLICKING on iPhoto's Trash icon and choosing "Empty Trash".
    Sorry but I really don't understand what you're asking.
    Regards
    TD

  • Track delays before playing  -  Help please

    DSP - 3 One of my tracks seems to delay before playing and I end up with a black screen before it begins. I went back to Final Cut and can't find any problem with it there. All my other tracks start fine. Help please.
    Thanks.

    Where are you seeing this? On a DVD player or in Simulator? What kind of menu are you using?
    The delay on a disc is usually (but not always) related to where the menu is physically located (usually near the spindle hole) compared to the track (anywhere else moving outwards towards the edge of the disc), and the routing tables which DVDSP creates on your behalf when the disc is built. Not all menu/track relationships will see the same delay and you can perhaps reduce it by adding the asset for the troublesome track into another track and setting it apart with a story. Your menu can then point to the assets within the story and it should make a difference.
    However, the delay you see on your player will almost certainly be different to that seen on another player - without using a spec level authoring package (or trying it in DVDSP4 where you can move menus into specific VTSs) you may well be stuck with it as it is if adding the asset to an existing track doesn't work.

  • Keynote presentations when using wireless libratone zipp speaker there is a 2sec delay before any slide containing video/audio plays - tried connection through apple TV still the same. Any ideas?

    Keynote presentations when using wireless libratone zipp speaker there is a 2sec delay before any slide containing video/audio plays - tried connection through apple TV still the same. Any ideas?

    Thanks Gary, I think I should have mentioned that I have wired my other speakers and it works fine. 
    I just wanted to go wireless when presenting in front of class, simply to avoid trailing wires from the macbook which I position in front of me on a desk next to the projector and the speakers are behind me next to the screen.
    I have struggled to find a solution, and reading through other discussions it is a problem that perhaps only Apple can solve.
    I hope the new 'Yosemite' will resolve the problem.
    Thank you once again for your reply.

  • Bootup: long delay before sysinit.target.

    My system seems to have a strange delay during boot that I don't know how to account for. In the SVG plot, there is a long delay before `sysinit.target` comes on, but it doesn't seem that anything is blocking it.
    Also, systemd-blame shows everything starting prety quickly.
    1.332s lightdm.service
    1.255s systemd-logind.service
    1.254s avahi-daemon.service
    1.150s systemd-update-utmp.service
    539ms NetworkManager.service
    437ms [email protected]
    393ms accounts-daemon.service
    360ms systemd-udev-trigger.service
    323ms systemd-tmpfiles-setup.service
    316ms systemd-vconsole-setup.service
    316ms dev-hugepages.mount
    316ms dev-mqueue.mount
    316ms sys-kernel-debug.mount
    295ms kmod-static-nodes.service
    276ms ntpd.service
    231ms systemd-sysctl.service
    224ms [email protected]
    216ms systemd-user-sessions.service
    176ms polkit.service
    170ms systemd-journal-flush.service
    127ms systemd-random-seed.service
    127ms rtkit-daemon.service
    111ms upower.service
    98ms colord.service
    90ms sys-kernel-config.mount
    82ms systemd-backlight@acpi_video0.service
    67ms sys-fs-fuse-connections.mount
    66ms systemd-tmpfiles-setup-dev.service
    55ms wpa_supplicant.service
    53ms dev-sda2.swap
    33ms alsa-restore.service
    30ms udisks2.service
    3ms systemd-remount-fs.service
    2ms tmp.mount
    2ms systemd-udevd.service
    2ms systemd-tmpfiles-clean.service
    And here is what the journal says in that time gap (nothing):
    Dec 26 07:39:51 yvne systemd[1]: Starting Swap.
    Dec 26 07:39:51 yvne systemd[1]: Reached target Swap.
    Dec 26 07:40:26 yvne systemd[1]: Starting System Initialization.
    Dec 26 07:40:26 yvne systemd[1]: Reached target System Initialization.
    Last edited by shawnjgoff (2013-12-26 13:13:19)

    My system seems to have a strange delay during boot that I don't know how to account for. In the SVG plot, there is a long delay before `sysinit.target` comes on, but it doesn't seem that anything is blocking it.
    Also, systemd-blame shows everything starting prety quickly.
    1.332s lightdm.service
    1.255s systemd-logind.service
    1.254s avahi-daemon.service
    1.150s systemd-update-utmp.service
    539ms NetworkManager.service
    437ms [email protected]
    393ms accounts-daemon.service
    360ms systemd-udev-trigger.service
    323ms systemd-tmpfiles-setup.service
    316ms systemd-vconsole-setup.service
    316ms dev-hugepages.mount
    316ms dev-mqueue.mount
    316ms sys-kernel-debug.mount
    295ms kmod-static-nodes.service
    276ms ntpd.service
    231ms systemd-sysctl.service
    224ms [email protected]
    216ms systemd-user-sessions.service
    176ms polkit.service
    170ms systemd-journal-flush.service
    127ms systemd-random-seed.service
    127ms rtkit-daemon.service
    111ms upower.service
    98ms colord.service
    90ms sys-kernel-config.mount
    82ms systemd-backlight@acpi_video0.service
    67ms sys-fs-fuse-connections.mount
    66ms systemd-tmpfiles-setup-dev.service
    55ms wpa_supplicant.service
    53ms dev-sda2.swap
    33ms alsa-restore.service
    30ms udisks2.service
    3ms systemd-remount-fs.service
    2ms tmp.mount
    2ms systemd-udevd.service
    2ms systemd-tmpfiles-clean.service
    And here is what the journal says in that time gap (nothing):
    Dec 26 07:39:51 yvne systemd[1]: Starting Swap.
    Dec 26 07:39:51 yvne systemd[1]: Reached target Swap.
    Dec 26 07:40:26 yvne systemd[1]: Starting System Initialization.
    Dec 26 07:40:26 yvne systemd[1]: Reached target System Initialization.
    Last edited by shawnjgoff (2013-12-26 13:13:19)

  • Every keystroke or mouse click results in a 10 sec or more delay before responding. Suggestions??

    Each time I type something or click my mouse, there is a delay before the keystrokes are recognized, or the mouse click has any results. This delay be as little as 5 secs but go up to as much as 15 secs. Very frustrating and a time waster if you add it all up.

    @<b>nikcree</b>
    Please start a new thread for your problem or question and provide troubleshooting information like your operating system and installed extensions and installed plugins.
    *[[/questions/new start a new thread]]
    *[[/kb/Using+the+Troubleshooting+Information+page]]
    Start Firefox in <u>[[Safe Mode]]</u> to check if one of the extensions or if hardware acceleration is causing the problem (switch to the DEFAULT theme: Firefox/Tools > Add-ons > Appearance/Themes).
    *Don't make any changes on the Safe mode start window.
    *https://support.mozilla.org/kb/Safe+Mode
    <i>[locking this thread due to age]</i>

  • Extend the Ring delay before switch to voicemail

    How do I Extend the Ring delay before switch to voicemail?

    You will need to contact your cellular provider to make changes to the number of rings.

  • Having recently updated Firefox, there now seems to be a long delay before many webpages load. Is there any way to easily revert to the previous arrangement, for example by removing new features that came with the upgrade that I probably don't use?

    Having recently updated Firefox, there now seems to be a long delay before many webpages load. Is there any way to easily revert to the previous arrangement, for example by removing new features that came with the upgrade that I probably don't use?

    Having recently updated Firefox, there now seems to be a long delay before many webpages load. Is there any way to easily revert to the previous arrangement, for example by removing new features that came with the upgrade that I probably don't use?

  • Edirol FA-66 Delay before hearing sound

    Macbook Pro 17 with snow leopard 10.6.1 using Edirol FA-66 with firewire connection. Works OK at first but as soon as I change an effect or most any change there is a 2-3 second delay before I hear my guitar. I'm using headphones connected to macbook for output. Anybody got any ideas?

    I have the same problem with both Garage Band 09 or Logic Express 9. I'm under Snow Leopard 10.6.2.
    When I start monitoring it's OK, then after 1-2 mins of playing, I will start experiencing a delay of 1-2 secs in the monitoring. I wonder if this problem is specific to FA-66/SL...

  • Put Delay Before 'return' in Function

    When I create function that allow flash to detect object's velocity, a problem happen. I can't put delay before 'return' executed. It's cause result of function is null or 0. There's the script:
    function SSvelocity(Target:Object,target_property:String,delay_ms:int=1000):Number
         if ((target_property!="x")&&(target_property!="y")&&(target_property!="z")&&(target_property!="rotation"))
              trace("ShortenScript: err(SSvelocity[1]): SSvelocity("+Target+","+target_property+","+delay_ms+")");
              trace("                                   SSvelocity(the_object,target_property,delay_millisecond)");
              trace("                                   position should filled with \"x\" or \"y\" or \"z\" or \"rotation\"");
              trace("                                   and don\'t forget about quotes(\")");
              DisplayError = true;
              return null;
         var detecta:Timer = new Timer(1000);
         var detectb:Timer = new Timer(1000);
         var resulta:Number;
         var resultb:Number;
         detecta.delay = delay_ms;
         detectb.delay = delay_ms;
         detecta.start();
         detecta.addEventListener(TimerEvent.TIMER, handlera);
         detectb.addEventListener(TimerEvent.TIMER, handlerb);
         function handlera(event:TimerEvent)
              detecta.stop();
              if (target_property == "x")
                   resulta = Target.x;
              else if (target_property=="y")
                   resulta = Target.y;
              else if (target_property=="z")
                   resulta = Target.z;
              else if (target_property=="rotation")
                   resulta = Target.rotation;
              detectb.start();
         function handlerb(event:TimerEvent)
              detectb.stop();
              if (target_property == "x")
                   resultb = Target.x;
              else if (target_property=="y")
                   resultb = Target.y;
              else if (target_property=="z")
                   resultb = Target.z;
              else if (target_property=="rotation")
                   resultb = Target.rotation;
         // I want put delay before return, because it's resulting NaN and often 0
         return (resultb-resulta);

    I agree with Ned that you should rethink your approach. Objects A and B are your Information Experts (GRASP (object-oriented design) - Wikipedia, the free encyclopedia) on the problem at hand, so they should be responsible for storing their own previous positions and calculating velocity.
    Let's assume that it's possible for you to apply the same Base Class to both A and B. That Base Class might look something like this:
    public class VelocityClip extends MovieClip {
         //each history will contain the past 10 values for that property
         protected var propertiesHistory:Dictionary = new Dictionary();
         //by adding or removing property names to this Array, you can change what properties are being tracked
         protected var trackedProperties:Array = ['x', 'y', 'rotation'];
         //capture values every 100 ms (10 times a sec)
         protected var timer:Timer = new Timer(100);
         public function VelocityClip() {
              super();
              for each (var property:String in trackedProperties) {
                   //create a spot to store the history of each tracked property
                   propertiesHistory[property] = new Vector.<Number>();
              timer.addEventListener(TimerEvent.TIMER, storeValues);
              timer.start();
         protected function storeValues(e:TimerEvent):void {
              for each (var property:String in properties) {
                   var history:Vector.<Number> = propertiesHistory[property] as Vector<Number>;
                   if (history.length > 9) {
                        //stay at 10 total indices by removing first item
                        history.shift();
                   history.push(Number(this[property]));
         public function getVelocity(property:String):Number {
              var history:Vector.<Number> = propertiesHistory[property] as Vector<Number>;
              if (!history) return NaN;//no history for this property
              if (history.length < 10) return 0; //haven't been moving for a full sec.
              //history[9] was recorded sometime in the last 99 ms, and history[9] and history[0] are 1 sec apart
              //subject to the limitations of the Timer Class
              return history[9] - history[0];

  • IChat disconnects randomly, delays before notifying user

    Hi,
    I've experienced the following problem for about a year now, on various operation systems and internet connections. iChat disconnects randomly but delays before notifying the user. The screen appears as though iChat is working fine, but the user, of course, cannot receive incoming messages. Outgoing messages appear to send fine, but the recipient does not receive them. After a few minutes iChat displays a warning message that says the user is disconnected, and after relaunching the application, it works fine.
    Do you have any insights on this problem?
    Thanks,
    Jason

    HI Jason,
    I see this problem occassionaly.
    It seems to effect me most on Mondays and if the text chat person also wants to Video test.
    Sometimes the Video chat fails but the text chat goes very slow and then deleivers several reponses all at once.
    This could be several things.
    AIM server update day.
    Need for 'housekeeping'/Routine Mainteneance
    Gulliver, "kmosx3: Mac OS X 10.3/10.4: System maintenance", 06:15am Mar 27, 2004 CDT
    I would think your is the second as I only have part of what you have. You have also got a PowerBook which I guess is not on to let the Cron scripts run at night. (see link)
    Ralph

  • Any way to change delay before voicemail picks up?

    My wife's iphone swithces to voicemail after the third or fourth ring (She is using "Old phone,"), and she misses a lot of calls. Is there a way to alter the delay before voicemail picks up? I looked in settings, searched some, and couldn't find any information. Thanks.

    To backup Nathan's informed response...This is the AT & T Answer Center response...
    QUESTION:
    How can I adjust the number of times my wireless phone will ring before the caller goes to voicemail?
    ANSWER:
    Contact Customer Care at 1-800-331-0500 or dial 611 from your wireless phone to change the amount of time your phone will ring before going to voice mail. The adjustments made by Customer Care are based on the traditional ring heard by the caller, not the unique Ringtone you hear from your phone.
    I chose to speak with a Customer Care representative and easily extended the time to 30 seconds.
    ;~)

  • When I type there's a long delay before the letters show up on the screen. Also when I backspace it goes crazy and erases entire sentences

    This began several days ago and seems to be a problem in Firefox. I did download an update recently but don't thjink the problem developped simultaneously. When I used the computer's feature of resetting the computer to a prior day (May 19, before the update) I could not get Firefox to open at all. So I undid the reset but typing in Firefox is very difficult due to the extremely long delay in the letters I'm typing showing up.

    Try a Restart.
    Press and hold the Sleep/Wake button for a few seconds until the red "slide to power off" slider appears, and then slide the slider. Press and hold the Sleep/Wake button until the Apple logo appears.
    Resetting your settings
    You can also try resetting all settings. Settings>General>Reset>Reset All Settings. You will have to enter all of your device settings again.... All of the settings in the settings app will have to be re-entered. You won't lose any data, but it takes time to enter all of the settings again.
    Resetting your device
    Press and hold the Sleep/Wake button and the Home button together for at least ten seconds, until the Apple logo appears. Apple recommends this only if you are unable to restart it.
    Or if this doesn't work and nobody else on the blog doesn't have a better idea you can contact Apple.
    Here is a link to their contacts with most of the information below.
    http://www.apple.com/contact/

Maybe you are looking for

  • Complimentary upgrade from FB 4.5 to FB 4.7??

    The Adobe website (http://www.adobe.com/products/flash-builder.html) says that the upgrade from FB 4.5 to FB 4.7 is complimentary. Yet, when I try to enter the 4.5 serial number into 4.7, it says the serial # is invalid. I chatted with Adobe Customer

  • How to calculate average in result in BEx

    Hi Expert, I have 2 fields one is project and another # of days to finished project (I used formula to calculate the # of days from start to finished date. NOw in result need to calculate average of days took to finished all projects. I belive formul

  • How to create a Finish Schedule List with InDesign?

    We create Room x Room selection catalogs with images and brief descriptions for architectural & Interior design projectsl.  How can I export the text description into a Finish Schedule list(spreadsheet) for contractors to order materials from this in

  • Linux SIGSEGV on window open

    Linux is crashing with the following error when opening windows: "Application crashed with an unhandled SIGSEGV" I can cause the error to occur with a simple test script at random intervals. Sometimes it will crash on as little as 2 windows and other

  • What is the use of cube partition?

    Hi, What is the purpose of partioning the cube by 0calmonth, 0fiscper? Is creating aggregates on cube by 0calmonth, 0fiscper same? Thanks, Sammy