How to integrate Matlab program into Labview

I have a Matlab program to do some data processing.
The data source is from Labview, and the processed data will pass back to Labview for display.
Finally the Labview program need to compile into exe program.
Any way to make it. Thanks.

hope this helps:
Harold Timmis
[email protected]
Orlando,Fl
*Kudos always welcome
Attachments:
matlab.jpg ‏25 KB

Similar Messages

  • Integration of C++ program into Labview

    I have written a C++ program that generates low level code to control a machine. I would like to integrate this program into Labview. I would like to know what would be the best approach to do:
    - use CIN (code interface node). Can I take a few parameters as inputs and pass a string of characters to labview? Originally the C++ program generates a text file with the comands to control the device, I guess this can be substituted by a string control/indicator that will control the GPIB device.
    - use ActiveX
    - DLLs??
    Thanks in advance for your help.

    Madrid,
    I would recommend that you stir away from CINs. If you can compile your C++ code into a DLL, then you can easily call it from LabVIEW. CINs have the disadvantage that if you change your code in anyway, you have to update the CIN node in the diagram of the VI. However if you recompile your DLL, you don't have to inform LabVIEW that you have a newer version of your DLL. If the newer DLL is in the same path that LabVIEW is searching, then it'll link to it automatically.
    ActiveX is also a good choice. It might take more development time than creating a DLL though.
    My two cents,
    Azucena

  • How to use a Matlab Program within Labview

    Hi
    I saw in this forum that many users are using some matlab program
    executed in Labview program. I have actually Labview 7.1 and would like
    to use some of my matlab program in Labview. Is there an easy way to do
    it ?
    Thanks
    Thomas

    The MATLAB Script function is kinda buried in the function palette, and
    can be found under Functions >> Analyze >> Mathematics
    >> Formula.  Unfortunately this feature is not available in
    the LabVIEW Base package.  Hope this helps!
    Andy F.
    National Instruments

  • How to integrate BW Hierarchy into Webi?

    Hi Everybody,
    I need to create a report based on 0FIGL_V10_Q0001 in Webi and this query has a hierarchy and i have created the hierarchy from the designer itself. In webi, when i tried to pull 1 of the hierarchy dimension with key figure, it shows no data but if i remove the key figure i can view the information. May i know what went wrong with it or do you have a details on how to integrate BW hierarchy into Webi?
    Your assistance on this is greatly appreciated.
    Thanks
    Best Regards,
    Hizam

    Hi,
    use the following link:
    https://sapmats-us.sap-ag.de/download/download.cgi?id=SOTBBWVP5VS0BRNUJFQWYL5A6L3GXGPDJ59UKUDZMA1UVE1WOQ
    (should work properly in about 10 min)
    - merge the regsitry file to your BusinessObjects Server
    - Restart the Services via a restart of the Server Intelligence Agent
    - re-run the report with keyfigures
    You should see 2 logfiles being created on the C:\ root folder
    attach the logfiles to this message (do not copy past the content).
    I assume the underlying BI query works fine.
    Ingo

  • How to integrate BW3.5 into Portal6.0

    Dear all
    I want to distribute Queries and Web reportings directly
    into Portal6.0,but i did not integrate BW3.5 into Portal6.0,although i have solved SSO between Portal and BW system.My BW system and Portal release are last version of Netweaver2004, and they are installed on individual server.Could anybody tell me how to integrate BW3.5 into Portal6.0? Thanks very much.
    Best regards
    Alpha

    hi alpha,
    create an BW iview and in the name of the query string in ep simply give the url of webtemplate of ur query. save the ivew and execute the query.
    check out these links
    http://help.sap.com/saphelp_nw04/helpdata/en/3a/10fc3f0ac2c642e10000000a1550b0/content.htm
    http://help.sap.com/saphelp_nw04/helpdata/en/a8/37e1082163c54abce581a54d6b771a/content.htm
    http://help.sap.com/saphelp_nw04/helpdata/en/7f/5bfc3f8fc2c542e10000000a1550b0/content.htm - Configuration
    http://help.sap.com/saphelp_nw04/helpdata/en/ab/9659400d9d1059e10000000a155106/frameset.htm - Making Settings
    /thread/92584 [original link is broken]
    https://www.sdn.sap.com/irj/servlet/prt/portal/prtroot/docs/library/uuid/ee58ec90-0201-0010-b9a2-9a63740933d5 - Tips
    BEx
    /thread/50510 [original link is broken]
    /thread/92629 [original link is broken]
    /thread/62101 [original link is broken]
    /thread/89034 [original link is broken]
    link in sap
    1 http://help.sap.com/saphelp_nw04/helpdata/en/71/a202400d67c342e10000000a1550b0/frameset.htm
    2. Why to integrate.
    http://expertanswercenter.techtarget.com/eac/knowledgebaseAnswer/0,295199,sid63_gci974492,00.html
    3. Integrating.. a ppt. (GOOD ONE)
    http://www.asug.com/client_files/Calendar/Upload/7_Rapidigm%20EP_BW_Integratio
    cheers
    ravi

  • Is it possible to tell me how i can make program in labview that take pixels of black and withe image and give coordinate of black or white pixels back to me.

    hello everybody
    is it possible to tell me how i can make program in labview that take pixels of black and withe image and give coordinate of black or white pixels back to me.
    Solved!
    Go to Solution.

    Here's a slightly simpler version.
    (Depending how you want to process the output, you might not need to traspose and/or reverse. Boolean to 0,1 works directly on the 2D array if needed )
    amkamyab wrote:
    it is good. i could enter 1bit image in and show it in array. now i want to take pixels coordinates. i meen i want to have (x,y) of each pixel to send it pixel coordinate to another program i wrote for steppers motor.
    Can you be a bit more specific on how the output is supposed to look like? Initially you wrote "black or white", and since all pixels are either black or white, do you want to send all pixels? In what format? In what order? Please clarify?
    LabVIEW Champion . Do more with less code and in less time .
    Attachments:
    BW-Pix.png ‏8 KB

  • Program E6036 in C++ and integrate program into LABVIEW

    Hallo,
    I never programmed a DAQ before. I read the examples and searched the forum but I don´t understand the examples complete and now I don´t know how to begin.
    I need to program a DAQ Card E6036 in C++ which gets three input signals (voltage -10/+10V) and then outputs the data (separate) as a digital signal in the memory. So I want to ask if somebody has had the same problem and can give me a short example or links on the NI- Homepage of how to do this.
    I add a short list of specifications
    - The sampling rate has to be 1kHz or higher
    - I have to integrate the program later as *.dll in Labview
    If there are more questions i will answer them and I hope this is the right forum.
    best regards
    Werner

    Hello Marco,
    we divided the project into two parts. My colleagues design the user interface in labview and I have to write the program code in C++ to read the data.
    Our professor told us, that the sampling rate in Labview is not fast enough. So we use C++ to sample the DAQ data. Is it possible in Labview to sample with a frequency higher than 1kHz?
    Best regards
    Werner

  • How to use matlab code in Labview without having MATLAB software. I tried to convert .m files into .dll files. But i could not do. Please help me out..

    Please help me out...
    Solved!
    Go to Solution.

    bombay wrote:
    Yes. It can be done. But Math script can not evaluate all functions in .m files (There are some exceptions).
    And those can perhaps easily be ported to LabVIEW/MathScript?
    It is not sufficient to disregard running your Matlab code in LabVIEW based on a few exceptions without first thoroughly evaluating the impact they have.
    If you want to stick with Matlab in your implementation, then there are other avenues than hypotethizing about the limited portability issues of using MathScript in your project?
    Br,
    /Roger

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • How to use matlab function with labview?

    Hello,
    I just want to use some matlab functions like floor(),ones()... in my labview code, who can tell me how to do it?
     I want to only install MCR in my PC, so MATLAB script node can not work because it need matlab installed. 
    Thanks
    Solved!
    Go to Solution.

    floor() exists on the standard labview pallet already and the ones() function would be fairly simple to reproduce. If you only need a few basic functions repost asking for direction on recreating those specific methods. However, you're right - there is not a direct way to use compiled matlab code in labview without full matlab and the math script nodes. If you're really desparate to reuse some some exisiting IP there are C++ alternatives that implement many of the same methods and syntax as matlab (http://arma.sourceforge.net/faq.html). I'm fairly sure there are other tools that attempt to translate matlab code into pure c functions, both of which can be called via a DLL from within labview: https://decibel.ni.com/content/docs/DOC-9076
    Alternatively, here is an all NI linear algebra solution: http://sine.ni.com/nips/cds/view/p/lang/en/nid/210525

  • How can I write program in Labview for zbrain kit..??

    Hello everybody
    I need to write the program in Labview fro Zbrain kit. I need to write code for multiple analog input (through multiple channels) that results multiple digital output. I found one refrence code which has one analog input (one channel) and gives one digital output.
    I also need to save data for channels. I have no idea how can I save data for channels.
    I have attached here the base program. Please give me idea how can I understand this program so that I can complete following tasks:
    1. Save data for one channel and later for multiple channels
    2. Modify the number of channels from one channels to multiple channels.
    Actually I have gone through the videos and text based content(basics in labview) but I am unable to write the code.
    Attachments:
    Analog_3_InBlock.vi ‏131 KB

    Hi,
    Attached is an example snipped with some more comments on how to acquire multiple channels on a ZBrain platform that is based on an Analog Devices Blackfin processor. Just drag and drop it into your LabVIEW diagram. More examples can be found here: http://wiki.schmid-engineering.ch/zsystem/doku.php?id=example_zmc_adc-dma_continous. The idea behind dynamic data acquisition on a microprocessor is simple: you define the channels (up to 6x) , sampling frequency (up to 250kHz)  and block sizes (up to 65'000) and start the acquisition. The underlying driver model samples in the data in parallel and the LabVIEW program gets this data. While e.g. processing the data, the underlying driver model continues sampling new data.
    I hope this helped.
    Marco Schmid
    Schmid Engineering
    Attachments:
    snippet_zmc_adc-dma_continuous.png ‏107 KB

  • How to call another program from LabVIEW?

    Hi!
    Is there anyone that can answer my question? I have found a similar thread where the user needed to call labVIEW from some program but I want to do the opposite.
    I made a program in labVIEW that communicates via COM-ports (serial communication) with the processor I use at the job (Ubicom´s IP2022).
    Now I want to add more funcionality to this program. I want to be able to program re-program IP2022 through this LabVIEW application.
    The programming is done via an Ethernet-dongle. I start a program called IP2kProg, I write the ip-address of the dongle and the program-file (.elf) downloads at this address. But how can I do this from
    LabVIEW, do I need to open IP2kProg or can I send some values to it via LabViEW?
    Ant suggestions?
    Thanks, Amir

    Amir,
    There are couple of options:
    1.  If your IP2kProg program has a command-line interface, you can use LabVIEW's System Exec.vi and send the commands and parameters to it. 
    2.  If the IP2kProg has an API / exported functions, you can call them from LabVIEW using the Call Library Function Node. 
    Hope this gives you some ideas. 
    -Khalid

  • How to integrate existing value into one in hierarchy char.

    I have a char. hierarchy call ZCOMP_CODE. ZLEADER is compounding with ZCOUNTRY.
    The master data in ZCOMP_CODE looks like
    ZLEADER;ZCOMP_CODE;
    010;1000;
    010;1001;
    010;1002;
    020;1000;
    021;1000;
    In query  I have char. ZCOMP_CODE in row and one KF ZREVENUE in column. Previously we calculated ZLEADER 010,020,021 separately. But, now I want to calculated ZLEADER 020 and 021 together. Does anyone know how to integrate two existing value into one and display it in query? Do I need to create a new hierarchy node for including 020,021?
    Thanks!
    rajatina.

    don't know how you can achieve this in the query...
    but in the workbench there's a solution. Just add a routine in the transformation to change 021 to 020.
    if you need to have still the 021 info somewhere, create a ZCOMPANY_CODE2 where you store only the values needed (010, 020)... use this company code as hierarchy in the report, and use the other company code for displaying the 021...
    hope it's clear,
    M.

  • How to integrate BW reports into the Portal ???

    Hi all,
      I need to integrate the BW (3.5) Reports into the Portal. If any one has good documents could you please pass it to my e-mail id: [email protected]
    Thanks in advance.

    Hi Venkat,
    Integration of BW Components into the Portal
    http://help.sap.com/saphelp_nw04/helpdata/en/a8/37e1082163c54abce581a54d6b771a/frameset.htm
    Configuration for the Integration of SAP BW and SAP EP
    http://help.sap.com/saphelp_nw04/helpdata/en/2f/741a403233dd5fe10000000a155106/frameset.htm
    How to Integrate BW Web Applications into EP 5.0
    https://www.sdn.sap.com/irj/servlet/prt/portal/prtroot/docs/library/uuid/af073962-0b01-0010-f7a4-f4c1023ed0a4
    Keys for Integrating SAP BW 3.5 and SAP Enterprise Portal 6.0
    https://www.sdn.sap.com/irj/servlet/prt/portal/prtroot/docs/library/uuid/6b1472a7-0301-0010-64bd-dc96509db6f7
    Enterprise Portal Integration into BW(e-class)
    https://media.sdn.sap.com/eclasses/ebw201/main.htm
    Hope this helps.
    Regards
    Hari

  • How to set a program into backgroud job

    hi experts,i want to set a program into backgroud job.
    the original code like this:
    ...some statements...
    PERFORM FRM_SEND_MAIL USING WA_YA_LX.
    ...some statements...
    i want to set 'PERFORM FRM_SEND_MAIL USING WA_YA_LX.' into background job.
    is that code like this?
      CALL FUNCTION 'JOB_OPEN'
         EXPORTING
           JOBNAME  = WA_TBTCJOB-JOBNAME
           JOBCLASS = 'A'
         IMPORTING
           JOBCOUNT = WA_TBTCJOB-JOBCOUNT.
    PERFORM FRM_SEND_MAIL USING WA_YA_LX.
      CALL FUNCTION 'JOB_CLOSE'
        EXPORTING
          JOBCOUNT                          = WA_TBTCJOB-JOBCOUNT
          JOBNAME                           = WA_TBTCJOB-JOBNAME
          SDLSTRTDT                         = SY-DATUM
          SDLSTRTTM                         = WA_TBTCJOB-SDLSTRTTM  .
    hunger for you advice,thanks a lot.

    See the following simple prog to schedule in background.
    You cannot schedule the subroutine i.e perform to run in background job. Instead write the subroutine in another program.
    to pass any value to that program, declare selection screen parameter and pass the value from the first one using submit.
    Job open
    call function 'JOB_OPEN'
    exporting
    delanfrep = ' '
    jobgroup = ' '
    jobname = jobname
    *sdlstrtdt = sy-datum
    *sdlstrttm = sy-uzeit
    importing
    jobcount = jobcount
    exceptions
    cant_create_job = 01
    invalid_job_data = 02
    jobname_missing = 03.
    if sy-subrc ne 0.
    write:/ 'error in opening a job'.
    endif.
    Insert process into job
    SUBMIT ZSDQ_BCK_TEST
    and return
    with p_type = 'F'   "Selection screen Parameter
    user sy-uname
    via job jobname
    number jobcount.
    if sy-subrc > 0.
      WRITE:/ 'ERROR PROCESSING JOB'.
    endif.
    Close job
    call function 'JOB_CLOSE'
    exporting
    *event_id = starttime-eventid
    *event_param = starttime-eventparm
    *event_periodic = starttime-periodic
    jobcount = jobcount
    jobname = jobname
    *laststrtdt = starttime-laststrtdt
    *laststrttm = starttime-laststrttm
    *prddays = 1
    *prdhours = 0
    *prdmins = 0
    *prdmonths = 0
    *prdweeks = 0
    *sdlstrtdt = sdlstrtdt
    *sdlstrttm = sdlstrttm
    strtimmed = 'X'
    *targetsystem = host
    RECIPIENT_OBJ = RECIPIENT_OBJ
    exceptions
    cant_start_immediate = 01
    invalid_startdate = 02
    jobname_missing = 03
    job_close_failed = 04
    job_nosteps = 05
    job_notex = 06
    lock_failed = 07
    others = 99.
    ***This is the second program which will run in background
    REPORT ZSDQ_BCK_TEST .
    TYPES: BEGIN OF TY_ADRC,
            HOUSE_NUM1 LIKE ADRC-HOUSE_NUM1,
            NAME3 LIKE ADRC-NAME3,
            NAME4 LIKE ADRC-NAME4,
            LOCATION LIKE ADRC-LOCATION,
          END OF TY_ADRC.
    DATA: IT_ADRC TYPE STANDARD TABLE OF TY_ADRC WITH HEADER LINE.
    Parameters: p_type type c.
    START-OF-SELECTION.
    SELECT HOUSE_NUM1
            NAME3
            NAME4
            LOCATION
           UP TO 40000 rows
            FROM ADRC
            INTO TABLE IT_ADRC.
           WHERE ADDRNUMBER = '0000022423'.
    IF SY-SUBRC = 0.
       LOOP AT IT_ADRC.
         WRITE:/ IT_ADRC-HOUSE_NUM1, IT_ADRC-NAME3.
       ENDLOOP.
       write:/ p_type.
    ENDIF.

Maybe you are looking for

  • Can't communicate on AOL

    Hi, A friend has a Mac PowerBook G4. He uses AOL for his email, internet, etc... (he plans to crossover and use the Apple applications soon). He has a .Mac account that he has been using to "iSight" with me. However, he can only video chat with me wh

  • Sending iMovie to iDVD

    Trying to send a 12 minute movie to iDVD from iMovie and only 4minutes or so load onto iDVD. I must have a setting wrong somewhere to not enable me to transfer all 12 minutes. Please advise. Thanks.

  • Older version of apps under iCloud?

    As I understand iCloud does not physically stores apps from my iOS device, but is able to get them for me if I need them to restore. What happens if iCloud won't have an app version compatible with my iOS (e.g. when my iOS will be outdated)? Is iClou

  • Multiple computers & Airport Extreme

    I have an AirPort extreme connected to a Cable modem. I have a PC connected via ethernet to my AirPort. I have an I Mac in a separate room that I connect to the AirPort Wirelessly. I have a PC Laptop with Windows Vista I would like to add into the mi

  • What is  s table in lo extraction

    hi this is narendra, i have a doubt ,is there any 's' table in lo cockpit,if it ie there can u pls help me out.