How to set runtime block and its items navigation

this is my procedure to set a navigation in a block. but with this i m unable to how to navigate to second block.
first block name is TRANS1
and second block name TRANS2
i need this navigation procedure because some items are getting invisible at the run time.i m using ORACLE 8i and FORM 6i.
PROCEDURE NAVIGATION_SEQUENCE IS
     V_LOOP NUMBER;
     V_PREITEM VARCHAR2(100);
     V_MAXLOOP1 NUMBER;
     V_MAXLOOP2 NUMBER;
BEGIN
NULL;
     SET_ITEM_PROPERTY('TRANS1.T_DATE',NEXT_NAVIGATION_ITEM,'TRANS1.T_VRDATE');
     IF :PARAMETER.F$BOOKTYPE = 'DB' THEN
     SET_ITEM_PROPERTY('TRANS1.T_VRDATE',NEXT_NAVIGATION_ITEM,'TRANS1.T_VRNO');
     SET_ITEM_PROPERTY('TRANS1.T_VRNO',NEXT_NAVIGATION_ITEM,'TRANS1.T_MAID');
     ELSE
     SET_ITEM_PROPERTY('TRANS1.T_VRDATE',NEXT_NAVIGATION_ITEM,'TRANS1.T_MAID');
     END IF;
     SET_ITEM_PROPERTY('TRANS1.T_MAID',NEXT_NAVIGATION_ITEM,'TRANS1.T_AGID');
     SET_ITEM_PROPERTY('TRANS1.T_AGID',NEXT_NAVIGATION_ITEM,'TRANS1.T_DUEDAYS');
     SET_ITEM_PROPERTY('TRANS1.T_DUEDAYS',NEXT_NAVIGATION_ITEM,'TRANS1.T_DUEDATE');
     V_PREITEM := 'TRANS1.T_DUEDAYS';
     IF GET_ITEM_PROPERTY('TRANS1.T_DF1',VISIBLE) = 'TRUE' THEN
     SET_ITEM_PROPERTY('TRANS1.T_DF1',NAVIGABLE,PROPERTY_TRUE);
     SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF1');
     V_PREITEM := 'TRANS1.T_DF1';
     END IF;     
     IF GET_ITEM_PROPERTY('TRANS1.T_DF2',VISIBLE) = 'TRUE' THEN
     SET_ITEM_PROPERTY('TRANS1.T_DF2',NAVIGABLE,PROPERTY_TRUE);
     SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF2');
     V_PREITEM := 'TRANS1.T_DF2';
     END IF;     
     IF GET_ITEM_PROPERTY('TRANS1.T_DF3',VISIBLE) = 'TRUE' THEN
     SET_ITEM_PROPERTY('TRANS1.T_DF3',NAVIGABLE,PROPERTY_TRUE);
     SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF3');
     V_PREITEM := 'TRANS1.T_DF3';
     END IF;     
     IF GET_ITEM_PROPERTY('TRANS1.T_DF4',VISIBLE) = 'TRUE' THEN
     SET_ITEM_PROPERTY('TRANS1.T_DF4',NAVIGABLE,PROPERTY_TRUE);
     SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF4');
     V_PREITEM := 'TRANS1.T_DF4';
     END IF;     
     IF GET_ITEM_PROPERTY('TRANS1.T_DF5',VISIBLE) = 'TRUE' THEN
     SET_ITEM_PROPERTY('TRANS1.T_DF5',NAVIGABLE,PROPERTY_TRUE);
     SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF5');
     V_PREITEM := 'TRANS1.T_DF5';
     END IF;     
     IF GET_ITEM_PROPERTY('TRANS1.T_DF6',VISIBLE) = 'TRUE' THEN
     SET_ITEM_PROPERTY('TRANS1.T_DF6',NAVIGABLE,PROPERTY_TRUE);
     SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF6');
     V_PREITEM := 'TRANS1.T_DF6';
     END IF;     
end NAVIGATION_SEQUENCE;

hi Volberg
thanks for your reply
dear friend in my form, some of the block item i have to disable(make invisible).
this is my requirement.
V_PREITEM is a variable which contain the name of last item, which i already set in navigation.
look at all 'IF' condition.
1st V_PREITEM := 'TRANS1.T_DF1';
2nd V_PREITEM := 'TRANS1.T_DF2';
in other words V_PREITEM variable having last item name.
IF GET_ITEM_PROPERTY('TRANS1.T_DF1',VISIBLE) = 'TRUE' THEN
SET_ITEM_PROPERTY('TRANS1.T_DF1',NAVIGABLE,PROPERTY_TRUE);
SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF1');
V_PREITEM := 'TRANS1.T_DF1';
END IF;
IF GET_ITEM_PROPERTY('TRANS1.T_DF2',VISIBLE) = 'TRUE' THEN
SET_ITEM_PROPERTY('TRANS1.T_DF2',NAVIGABLE,PROPERTY_TRUE);
SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF2');
V_PREITEM := 'TRANS1.T_DF2';END IF;
IF GET_ITEM_PROPERTY('TRANS1.T_DF3',VISIBLE) = 'TRUE' THEN
SET_ITEM_PROPERTY('TRANS1.T_DF3',NAVIGABLE,PROPERTY_TRUE);
SET_ITEM_PROPERTY(''||V_PREITEM||'',NEXT_NAVIGATION_ITEM,'TRANS1.T_DF3');
V_PREITEM := 'TRANS1.T_DF3';
END IF;

Similar Messages

  • How I can get the Billing Type for the sales order and its items

    How I can get the Billing Type for the sales order and its items. I mean from which SAP tables and how?

    Hi,
    You need to use two tables.
    First use VBFA. Enter the sales order number in the field Predecessar and the value M in SubCt field. This will give all the billing document number for the sales order items.
    Then use the billing document numbers in table VBRK, where in you can get the billing document type.
    Regards,

  • How to set the IP and MAC address in C program?

    My working environment is Sun250 Server, Solaris 7 operating system. I encountered a problem ---- How to set the IP and MAC address in C program to make the system change it IP & MAC at runtime?
    Any idea is welcome! Thanks!

    Hi
    As a simplest possible solution, you can use the system command
    to run ifconfig that can set both the mac address and the IP address of the system. You will have to use setuid though.
    Or you can use the DLPI calls ( do a man DLPI or search for a
    Sun documentation on the same at http://soldc.sun.com) to write
    a pure C program.
    HTH
    Shridhar

  • How to set Payment Block for Service PO when IR before GR?

    Hi,
    Could anyone advice me on how to set payment block especially for service Purchase order, item category D, when IR before GR (SES)?
    Thanks,
    Alex Kwon

    Hi
    Please try this...
    in IMG>MM>Invoice Verification>Invoice Block>Item amount check
    Here you can activate the item amount check for Item categories as well as GR item.
    Pls explore I have not tested.
    Hope it helps
    Karthik

  • How to set the Mail and Fax options  for the Smartforms ???

    How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .

    >
    veera Karthik wrote:
    > How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .
    Hi
    Check this Link:
    http://help.sap.com/saphelp_nw04/helpdata/en/a5/28d3b9d26211d4b646006094192fe3/frameset.htm
    P.S: Never say reply me soon....people answer you here voluntarily and as everyone has their own desk to manage...sp replies may late or early..depending upon the availabality of the members.
    Vishwa.

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How to set posting block in BIlling Doc type configuration

    Hi All,
    Can anybody tell me, How to set posting block in BIlling Doc type configuration.I need to do this to seperate billing creation from from FI/CO posting in my company.
    We right now run have the batch jobs which run VF06 & VFX3.
    Appreciate any input or suggestions.
    Regards,
    Vinay

    Hi
    If you want to check the Manual posting blocks you can configure them through FI/CO. Once you have configured the blocks with reasons it will automatically block for the payments.
    goto        SPRO-> Financial accounting->Account receivable & Payable ->Business Transactions-> Out going Payments-> Manual outgoing Payments-> Check payment block reason.
    Cheers

  • How to set multiple ORACLE_HOME and ORACLE_SID, on Windows

    How to set multiple ORACLE_HOME and ORACLE_SID, on Windows.
    I have 5 oracle instances. Every time if i want to start up the services , I am manually setting the environment variable (ORACLE_HOME and ORACLE_SID) and starting the services one at a time.
    Can we set multiple ORACLE_HOME and ORACLE_SID in Start->My computer-> properties-> advance-> Environment variable.
    Thanks
    Naveen

    Hi ,
    Can you please give me the link, for the doc you are referring to
    Thanks
    Naveen

  • How do i reset safari and what items should I check or delete?

    How do I reset safari and what items should I check or delete

    Reset Safari. OS X 10.8 Mountain Lion/ Safari 6
    Click Safari in the menu bar.
    From the drop down select "Reset Safari".
    Check the box beside each item you want to reset.
    No need to check the box beside "Remove saved names and passwords".
    Click "Reset".
    For more info:  http://support.apple.com/kb/PH11914
    Best.

  • How to set default currency and Country in R12 financial modules

    Hi all,
    How to set default currency and Country in R12 financial modules (AR,AP,GL,FA,CE) becuase I found some default settings are shown "USD" & "United States" such as create AR Customer, the Country is shown the default "United States"....
    Can anybody advise ?
    Thanks & Regards,

    Hi,
    Change the below profile for the user to a territory different than the US :
    In System Administrator, navigate to Profiles -> System.
    Select Site, Application, and Responsibility.
    Profile options:
    Default Country
    HZ: Reference Territory
    ICX: Territory
    Regards,
    Raju.

  • How to set the WIDTH and ALIGN of h:column in h:dataTable ??

    How to set the WIDTH and ALIGN of <h:column> in <h:dataTable>??
    Thanks a lot!

    Hi,thanks to your reply.
    Now I find a more convenient appraoch to set column's width and align respectively:
    <h:dataTable ...>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:80px; text-align: right;" value='#{row.fieldA}'/>
    </h:column>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:120px; text-align: left;" value='#{row.fieldB}'/>
    </h:column>
    </h:dataTable>
    It works fine.
    ^-^

  • I have suddenly been getting a lot of fraud emails.  Does anyone know how to set up blocks?

    Hello,
    I have been suddenly getting tons of fraud emails.  This has never been a problem in my mack mail in the past. Does anyone know how to set up blocks?  I have searched through preferences but have not been able to find any way to do it.  Bounce is of no use as these emails are not "respondable".
    Gabrielle

    Hi Gabrielle,
    If you're using Mail, open Mail > Mail menu > Preferences > Rules > Add Rule > set the parameters you want.

  • How to set the router and play the Command & Conquer using direct IP mode?

    My router is WRT54GFirmware Version: v3.03.1
    How to set the router and play the Command & Conquer using direct IP mode with other computer?

    Hi, when you say direct IP mode, what do you mean ? do you wanna play the game online or on the LAN ??
    can you give a few more details.

  • How to set the gain and input coupling for each channel on a NI 4462 DaQ card?

    I've seen a few examples in how to set the gain for NI 4462, but none tell me how to chose the channel I wish to set this gain.  Also the same problem with input coupling
    Does anyone know how to set the gain and input coupling for each individual channel?
    Thanks,
    Hector
    LabView 8.5 Windows XP

    Hey Hector,
    http://forums.ni.com/ni/board/message?board.id=100&thread.id=1688
    This has a few examples of how to do that.  Let me know if you have further questions.
    Have fun!
    -gaving

  • How to find the name of the block and yours items at runtime

    Hi, everybody.
    I wonder if there is any way to list the name of a block and their respective items that forms at run time. for example, using a loop in wnfi.
    Since already thank you.
    Sorry for my bad English.

    Hi,
    Try this code. It will travel through all block's items.
    DECLARE
         Str_First_Block      VARCHAR2(100) := NULL;
         Str_First_Item          VARCHAR2(100) := NULL;
         Str_Current_Block VARCHAR2(100) := NULL;
         Str_Current_Item      VARCHAR2(100) := NULL;
    BEGIN
         Str_First_Block := :SYSTEM.CURRENT_BLOCK;
         LOOP
              IF Str_Current_Block IS NULL THEN
                   Str_Current_Block := Str_First_Block;
              END IF;
              Str_First_Item := GET_BLOCK_PROPERTY(Str_Current_Block, FIRST_ITEM);
              LOOP
                   IF Str_Current_Item IS NULL THEN
                        Str_Current_Item := Str_First_Item;
                   END IF;
                   MESSAGE('Item - ' || Str_Current_Block || '.' || Str_Current_Item);
                   PAUSE;
                   Str_Current_Item := GET_ITEM_PROPERTY(Str_Current_Block || '.' || Str_Current_Item, NEXTITEM);
                   EXIT WHEN Str_Current_Item IS NULL;
              END LOOP;
              Str_Current_Block := GET_BLOCK_PROPERTY(Str_Current_Block, NEXTBLOCK);
              EXIT WHEN Str_Current_Block IS NULL;
         END LOOP;
    END;Regards,
    Manu.
    If my response or the response of another was helpful, please mark it accordingly

Maybe you are looking for

  • Moving an iPhoto project from one mac to another?

    OK, so i have bookes created on my macbook air on holiday and now that im home i want to copy these projects (hours of work) to my main iPhoto library on my iMac....is this possible and how do i do it?

  • Table and Index compression in data warehouse - thoughts?

    Hi, We have a data warehouse with large fact tables and materialized views of this data. Approx 3 million inserts per day week-ends about 12 million. The fact tables we have expected to have 200 million, and couple with 1-3 billion. Tables partitione

  • Panasonic pv-320 not recognized

    I plugged in my panasonice pv-320 via firewire to my mid-2010 imac running osx 10.8.5 and the camera isn't recognized

  • 9.0.4 to 9.2.2

    i have iMac G3 450Mhz DV model (Summer 2000) with 20 GB + 320 RAM + Mac OS 9.0.4. how update 9.0.4 to 9.2.2

  • Satellite A100 - I cannot use the Lexmark X3330 printer

    I have a Lexmark X3330 printer that is set as default however I can't print to it. The Pdf doc seems ok and recognises the printer but just does nothing when you press "print". All drivers have been updated - any suggestions?