Import WBS Codes

Hi,
How to export WBS codes into excel file for modification and import back into same P6 schedule. Please give some ideas on this.

AMA wrote:
Hi,
You can export WBS codes directly to an Excel spreadsheet from P6. After generating the spreadsheet, you can open it and make changes, save the spreadsheet, so far so good..
and then import it back into P6.it will not work to satisfy your need. WBS code (P6 interface name) or wbs_id (field name) is in fact a "path to root" field that concatenates WBS code + parent WBS code +..+ up to the Project ID. separated by a code separator (dot by default).
messing with that path, or even with the last few characters in the string (the ones after the last code separator), and then updating the project by re-importing the xls file, can result in activities being reassigned within the WBS structure (which you don't want), but nevertheless your WBS elements will not be "recoded" or "renamed" (the "WBS code" and "WBS Name" fields will not be changed).
You can also use the Activity.xls utility.only solution if you ask me. please test and followup on it. best of luck,

Similar Messages

  • Assigning WBS Codes

    I'm converting several activity codes to a WBS level. The dictionary is complete but now I want to assign those WBS codes to the activities. Several versions ago, you could edit the number, now the program pulls up the entire WBS dictionary and you have to "pick" the WBS code from the list to assign. Does anyone know of a quicker way? Is there an SDK program available that will allow me to change the WBS ids? Can I export to excel them import?
    Thanks

    Please search on Oracle Knowledge base support for the following file
    PATTLNK_9212009_2316-WBS
    This is WBS excel utility via P6 SDK.
    Cheers.
    Jawad
    Novo Rail, Sydney

  • JAEHYLEE  (R11i AP)   Payables Open Interface Import  REJECT CODE:NO TERMS

    Purpose
    Payables Open Interface Import REJECT CODE:NO TERMS
    Symptoms
    'EDI' Source로 invoice를 import할때 Payables Open Interface Import program 이 error로 종료된다
    정상적인 에러 No Terms로 출력이 되나 , 데이타 상에는 아무 이상이 없으며
    debug log file 의 reject code는 NO TERMS INFO라고 출력된다
    REJECT CODE:NO TERMS INFO
    CauseThe import program in procedure v_check_invalid_terms does not have exception handling. So, when ever it has exceptions like no_data_found or too_many_rows control was returning to the final exception block and the procedure was returning false to the calling procedure. Hence the error instead of Reject reason.
    Bug 4704918 - APXIIMPT DOESN'T REJECT INVOICES WITH INCONSISTENT TERMS.
    Solution
    AP.N이상에서 patch 4755024를 적용
    Please apply Patch 4755024 - 1OFF:4704918:AP.N:FP.G:11.5.10:APXIIMPT DOESN'T REJECT INVOICES
    Reference
    Note 359089.1

    Have you customized/setup the Project Accounting Workflow builder. You need to do some setup for this workflow builder so that the project accounts can be derived properly.

  • What are the importent transaction codes in edi

    what are the importent transaction codes in edi

    hi,
    =>sale - ALE Customizing
    =>bale - Distribution Administration (ALE)
    =>wedi - IDOC Type and EDI Basis
    =>shdb - BDC (Transaction Recorder)
    =>lsmw - LSMW
    =>bapi - BAPI
    =>spau - Display Modified DE Objects
    =>spdd - Display Modified DDIC Objects
    =>sara - central idoc archiving
    =>stms - Transport Management System
    =>wedi - IDOC Type and EDI Basis (IDOC Configuration)
    =>bd21 - Analyze change pointers - create IDOC from change pointer
    =>bd10 - Send Material Master
    =>bd12 - Send Customer Master
    =>bd14 - Send Vendor Master
    =>bd50 - Activate Change Pointer for Message Type
    =>bd55 - Conversion rule user exit (Link conversion rule user exit to the different system \ partner combinations)
    =>bd54 - Maintain Logical Systems
    =>bd71 - Distribute Customer Model
    =>bd87 - Process Inbound IDOCs
    =>bd88 - Process Outbound IDOCs
    =>bdm2 - Cross-system IDOC Reporting
    =>bdm7 - ALE Audit - statistical analyses
    =>bdm8 - ALE Audit - sending confirmations
    =>bd61 - Activate Change Pointer generally
    =>bmv0 - Direct Input Data Transfer Administration
    =>rz04 - Operation Mode
    =>rz12 - RFC Server Group Maintenance
    =>sar3 - Archiving object customizing
    =>s001 - SAPoffice Inbox
    =>s010 - Standards Texts (SAP Script)
    =>se01 - Transport Organizer (Extended View - Performing and managing the transport of development object across different systems)
    =>se09 - Transport (Workbench) Organizer (Controlling and keeping track of Development work and Development Objects)
    =>se78 - Administration of Form Graphics (Import Graphics into SAP System)
    =>se84 - ABAP Repository Information (search for SAP objects)
    =>su01 - User Maintenance (Security)
    =>su02 - Maintain Authorization Profiles
    =>su03 - Maintain Authorizations
    =>sm36 - Background Job Scheduling
    =>sm37 - Background Job Monitoring (display batch jobs)
    =>sm31 - Table Maintenance
    =>sm30 - Calling View Maintenance
    =>sm35 - Batch Input Monitoring (Error Logs)
    =>sm50 - Process Overview
    =>sm58 - Transactional RFC Monitoring
    =>sm59 - Maintain RFC Destinations (Define RFC Configuration)
    =>snro - Number Range Objects (for IDOCs)
    =>we02 - Display IDOC
    =>we05 - IDOC lists
    =>we07 - IDOC statistics
    =>we12 - Inbound processing of outbound file - convert outbound to inbound file
    =>we14 - Process (dispatch) IDOCs through Port - RSEOUT00
    =>we15 - Outbound IDOC from NAST - message control
    =>we16 - Inbound File
    =>we18 - Generate status file
    =>we19 - Test tool
    =>we20 - Add new Message Type to Partner Profile
    =>we21 - Maintain Port Definition
    =>we30 - IDOC Type development
    =>we31 - IDOC Segment development
    =>we41 - Process Code Outbound
    =>we42 - Process Code Inbound
    =>we46 - IDoc Administration
    =>we47 - Status Code Maintenance
    =>we57 - Assign function module to logical message and IDoc type
    =>we63 - IDOC Type for Parser Output
    =>we82 - Assign IDOC to Message Type
    =>we60 - IDOC Documentation - IDOC Types
    =>we61 - IDOC Documentation - IDOC Record Types
    =>we81 - Create new IDOC Message Types
    =>we82 - Assign IDOC to Message Type
    =>pa20 - Display HR Master Data
    =>pa30 - Maintain HR Master Data
    =>bd59 - Create and assign Filter Object Type for Message Type
    =>bd64 - Maintenance of ALE or any other Distribution Model
    Hope this helps, Do reward.

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Advance payment in the context of WBS code

    Hi all.
    How can i do the report "balance of Advance payments in the context of WBS code" ???

    HI
    GO to this link
    http://web.mit.edu/sapr3/docs/webdocs/reports/purch/rpPRpayment.html
    regrds
    Yogesh

  • The question about WBS code

    the WBS code is like GB08FA-B-101-TC1-TRA-001. and so on.
    now i should how to define project coding mask.
    Please explain me all the steps to be required.
    Thanks in advance!

    thanks a lot.
    the first      level code of WBS is  GB08FA
    the second level code of WBS is  GB08FA-B
    the third     level code of WBS is  GB08FA-B-101
    the fourth   level code of WBS is  GB08FA-B-101-TC1
    the fifth      level code of WBS is  GB08FA-B-101-TC1-TRA
    the sixth    level code of WBS is  GB08FA-B-101-TC1-TRA-001
    now i should how to define project coding mask.
    Please explain me all the steps to be required.
    Thanks in advance!

  • Import Activity Codes

    How to import activity codes into P6 from Excel sheet?

    Please refer to 200326112033 in our knowledgebase for
    more information on how to import and export activity
    codes from excel. If you have any further questions
    please contact support at
    http://primavera.com/customer/support.asp. <br
    />
    Saryn

  • How do I clear the Code Mask in the WBS Code Definition box?

    Hi all, I made a boo-boo when trying to set up a WBS code definition, and I can't figure out how to delete the codes masks as shown below.  DEL does not work on the level rows and there is no "None" choice in the dropdown. Well, as always,
    thx for your help!
    Jigs
    Jiggy Gaton, Trainer and Media Consultant for Nepal and S. Asia

     Ismet, thx for helping, but that procedure does not work here. Trying to delete the last line in the code mask gives this error: 
    and results in this list and an enless loop of the same message until I just hit cancel. This has the feel of a bug. I am using the latest of everything (MS Project 2013 Std. 32-bit in Win 8.1 Pro with all the MS updates). Driving me bonkers :) 
    Jiggy Gaton, Trainer and Media Consultant for Nepal and S. Asia

  • Error at the Time of Importing Tax Codes

    Hi All
    I m in the process of importing Tax Codes into the Production Environment; However, everytime i import the Transport Number, I get the below Error:
    Tax jurisdiction CA1023000001 has defective structure
    Message no. FS790
    The Tax Jurisdiction has already been set up in OBCP...
    Has anyone enocountered this before...
    Thank u
    Rukshana

    Hi Rukshana,
    I haven't encountered this error before, but Jurisdiction Code "CA1023000001" seems incorrect.
    If it is a US Jurisdiction Code, the length should be 10 (2 + 3 + 4 + 1); and if it is Canadian Jurisdiction Code, the length should be 4 (2 + 2).
    Check where this code definition is coming from and you will be able to isolate the problem.
    HTH,
    Manish Patel
    Sr. SAP Solutions Consultant

  • How to change WBS code to description in IM52

    Hi All,
    I am using Investment Management. I would like to distribute budget in IM52. but in IM52 it shows the WBS code not the wbs description, how to make it change to description ?
    Please help.
    Cheers,
    Nies

    Hi Nies,
    In the configuration of the Investment program type you can define if you want to represent the positions and measures (e.g. projects) by ID or text.
    Please follow the path in the customizing:
    SPRO>Investment Management>Investment Programs>Master Data>Define Program types
    There is a field called "Repr.form" (Represent. of cap. inv. prog. position in graphic hierarchy) that determines if the ID or text will be displayed.
    For example, if you set the value for 3 the investment program position will be represented by the code and the measure (in your case the WBS element) by description.
    Hope it helps,

  • Change WBS code to WBS description in CJ30

    Hi All,
    How can we change the layout from the WBS code to WBS description, because in CJ30 it shows that the WBS with no WBS description . It make the user confuse when put original budget.
    Cheers,
    Nies

    Appreciate ur advice.
    How about this report S_ALR_87013558 ...can we make the description longer.
    Sreenivas, could you advice me in my thread....which i am asking about WBS and WBS + network..please..
    cheers,
    Nies

  • Mass deletion of settlement rules for few WBS- Codes

    HI All,
    I just want to know that is there any possible way to delete settlement rule in mass.
    We use to upload the settlement rule data through LSMW program for all the project codes.By mistake the user has excuted twicw the LSMW program and now instead od having one settlement rule now they have two settlement rule assigned to the project  code. thats is the second rule is duplicated.
    now the user wnats to delete those second settlement rule which got duplicated for all the WBS codes. nearly there will be 6000 records are there which got affected by this.
    No entries has been posted to those WBS codes till now.
    Kindly any one suugest me how to proceed further to resolve this issue.
    Regards,
    Joby

    Hi,
    In customization, use the strategy with "No Receiver" and then use transaction CJB1/ CJB2 to automatically generate the revise settlement rules without receiver.
    Regards,
    Gaurav

  • Import/commodity code.

    Hi,
    I have query regarding import/commodity code.
    In PO I have checked the import tab in the header level. but unable to find out field as commodity code/import data.
    I have already maitained the code to material master.
    to where can I find the field commodity code in PO in import tab of the po.
    or is it required any addition setting.
    pls let me know .

    hi dear,
    do you have any idea regarding  trading company who deals in commodity market.how is it configure in sap fico?
    thanks
    vasu

  • IMPORTANT T- codes  in excise .

    Hi can any one give me important t-codes in various Excise related Business Transactions?( including various RG registers updated)
    Regards,
    Deepika

    Hi Deepeka,
    Please find here the Main CIN transactions with descriptions
    J1I2                 Prepare a sales tax register
    J1I3                 Create outgoing excise invoices in batches
    J1I5                 Update the RG 1 and Part I registers
    J1I8                 Post the challan used to remit TDS
    J1IC194C         Create annual return under section 194 C (Classic TDS)
    J1IC194D        Create annual return under section 194 D (Classic TDS)
    J1IC194I          Create annual return under section 194 I (Classic TDS)
    J1IC194J          Create annual return under section 194 J (Classic TDS)
    J1IEX                Incoming Excise Invoices (central transaction)
    J1IEX_C         Capture an incoming excise invoice (excise clerk)
    J1IEX_P       Post an incoming excise invoice (excise supervisor)
    J1INBANK             Post a bank challan
    J1INCANC          Cancel a vendor withholding tax certificate
    J1INCERT        Print a vendor withholding tax certificate
    J1INCHLN         Create a remittance challan
    J1INCUST           Post a customer withholding tax certificate
    J1INHC           Withholding Tax Migration Health Check Program
    J1INMIG                Withholding Tax Data Migration Tool
    JI1NCHLC            Create a remittance challan for customers
    J1INCC            Print customer withholding tax certificates
    J1INMIS                Withholding Tax Information System
    JI1NAR Prepare annual returns (Extended Withholding Tax)
    J1IF01 Create a subcontracting challan
    J1IF11 Change a subcontracting challan
    J1IF12 Display a subcontracting challan
    J1IF13 Complete, reverse, or recredit a subcontracting challan
    J1IFQ Reconcile quantities for subcontracting challans
    J1IFR List subcontracting challans
    J1IH Make a CENVAT adjustment posting
    J1IIN Create an outgoing excise invoice
    J1IJ Assign excise invoices to a delivery for sales from depots
    J1INJV Adjust withholding tax Item
    J1INREP Reprint a vendor withholding tax certificate
    J1INREV Reverse a remittance challan
    J1INPR Calculate withholding tax on clearing accounts
    J1INUT Clear withholding tax on clearing accounts
    J1IR Download register data
    J1IS Process an excise invoice (outgoing) for other movements
    J1IU Process exemption forms
    J1IW Verify and post an incoming excise invoice
    J1IX Create an incoming excise invoice (without reference to purchase order)
    J2I8 Transfer excise duty to CENVAT account
    J2ID Archive tax deducted at source (TDS) documents
    J2IE Locate tax deducted at source documents in archive
    J2IU Remit excise duty fortnightly
    J2I9 Monthly CENVAT return
    J1IG Excise invoice entry at depot
    J1IGA Create additional excise entry at depot
    J2I5 Extract data for excise registers
    J2I6 Print excise registers
    rgds
    Chidanand

Maybe you are looking for