Input Tax and Output Tax calculating wrong figures

Hi,
I have created Input Tax and Output Tax codes in SAP.
Input Tax- 3%
Output Tax- 4%
All the configuration is done as required. But when I am posting the Purchase and Sales Invoice, the tax amount is not calculating correctly.
For example:
Input Tax Calculation should be:
Vendor A/C.............. Cr 30000-
Expense A/C............ Dr 29100
Input Tax A/C............ Dr 900 (@3% on 30000)
Input Tax Calculation in SAP:
Vendor A/C.............. Cr 30000-
Expense A/C............ Dr 29126.21
Input Tax A/C............ Dr 873.79 (@3% on 30000)
The same is happening to Output Tax transactions.
Please advice.

My understanding about the Input Tax and Output Tax was wrong. In the issue reported
Input Tax Calculation should be:
Vendor A/C.............. Cr 30000-
Expense A/C............ Dr 29100
Input Tax A/C............ Dr 900 (@3% on 30000)---my understanding was wrong.
Input Tax 3% should be charged on Expense amount, in this case Rs 30000. But because in the editing option calculate tax on net amount option was not selected, hence SAP was giving the below entry, which is correct as well.
Vendor A/C.............. Cr 30000-
Expense A/C............ Dr 29126.21
Input Tax A/C............ Dr 873.79 (@3% on 29126.21) which is correct.
Hence, the Vendor amount should be Expense + Input Tax= 30000 * 3%=900 + 30000 (expense) = 30900.
Correct Entry should be:
Vendor A/C...............Cr 30900
Expense A/C............Dr 30000
Input Tax A/C............Dr 900
Resolved. Pankaj has given the correct answer.

Similar Messages

  • SD: Service tax and sales tax

    Dear All
    My client has 3 plants in different states and in to erection activities. while configuring the service tax and sales tax i have created  single G/L accounts for the service tax and sales tax based on tax code.
    Now my client is asking how can check the service tax payable and sales tax payable as per plant basis.
    With my config all service tax will go to one G/L account , VAT will go to one account . how can we able check plant wise details.
    Suggest me the way
    Regards
    Satya

    Hello
    As per my experience, the GL Acc for Service Tax & VAT can't be same. Check with your client.
    Anyways, as per your requirement for VAT
    1. Maintain 3 Tax code corresponding to your Plant. This will help you in different Tax Code differnet GL Acc
    Say,
    V1 - Output TaxCode AP
    V2 - Output TaxCode KA
    V3 - Output TaxCode TN
    2. In Tcode OB40 - in Rules activate Tax code. By doing this, it will activate TAX CODE & ACCOUNT in account section.
    With you can maintain,
    V1 - 3888001
    V2 - 3888002
    V3 - 3888003
    3. Maintain access sequence Country/Plant/PlntRegion/TaxCl1Cust/TaxCl1Mat. in Tax Condition Type.
    Then maintain condition record (VK11) for your VAT.
    Say,
    Country - Plant- PlntRegion - TaxCl1Cust - TaxCl1Mat.
    IN - 3010 - AP - 01 - 01 - 4 % - V1
    IN - 3020 - KA - 01 - 01 - 4 % - V2
    IN - 3030 - TN - 01 - 01 - 4 % - V3
    Similarly, you can do for Service tax also.
    Thanks & Regards
    JP

  • Payroll Log - input,processing and output

    ALL
    i have a doubt here and i hope it would be cleared in this forum.
    how does values comes in Input, Processing and output in payroll log.... for ex: sap provides detailed explanation in processing step.... where does this stored.... how it is appearing in payroll log.... plz. help to understand this.... Thank you all for your continuous contribution to this forum

    Hi,
    I think you are talking about the log that is getting after executing the Payroll.
    If so, as an example, kindly goto Factoring and storage and double click on X023 (Gross input and storage).
    Here, you can see the Input Table, Processing and Output Table.
    If I am not wrong you are talking about the Processing that is displayed here.
    If yes, Open the Schema through TCode PE01 and double click the Subschema for Period factoring and storage (INAL is the standard one. But if some 'Z' has been used instead of INAL double click that one). Here you can see  X023 (Gross input and storage). Double click X023 and you can see Rule according to which the Processing is happening.
    Thanks and Regards
    Kiran

  • Direct tax and indirect tax

    What is the difference between Direct Taxes and indirect Tax. In  which Cases those are both are applicable .
    please give to me details
    regards
    RAM

    Hi
    a direct tax is one paid directly to the government by the persons (juristic or natural) on whom it is imposed (often accompanied by a tax return filed by the taxpayer). Examples include some income taxes, some corporate taxes, and transfer taxes such as estate (inheritance) tax and gift tax.
    an indirect tax or "collected" tax (such as sales tax or value added tax (VAT)); a "collected" tax is one which is collected by intermediaries who turn over the proceeds to the government and file the related tax return.
    assign points if useful

  • Use Tax and Sales Tax

    Hi ,
    I want to know exactly what is use tax and Sales Tax. and how it is effects the business process if Use tax or sales tax is used.
    Thanks

    Sales tax is applicable to Sales within a state. Use tax is applicable on purchase from other state.
    It is clearly explained in SAP Library. Follow the link attached below:
    http://help.sap.com/saphelp_47x200/helpdata/en/e6/149e36d10e057ee10000009b38f889/frameset.htm
    Thanks
    Palani

  • Service Tax and Sales Tax-India

    In SAP how we can enter both Service Tax and Sales tax in single invoice. Is there is any option to enter both tax code in a single invoice?

    Hi
    For certain type of Vendor Invoices, both service tax and VAT is applicable in India. This can be done with the help of your tax procedure, where in you calculate service tax on Base Amount and VAT on the BASE AMOUNT plus Excise, if applicable. You need to create a single tax code like that and maintain the suitable condition records. I guess the standard TAX PROCEDURE TAXINN also takes care of this requirement.
    Regards
    Sanil

  • Separate thread for input stream and output stream.

    Hi Techies,
    In a socket connection, can we run the input stream and output stream in separate threads. actually in my case, the input stream will be getting the input regularly and output stream will send data very rare. so if i impelment them in one class then unless there is data to send output stream will be blocked. i was thinking to impelment both the streams in separate threads. is it a good way? so how to implement it. your guidance will be of great help.
    thanks in advance.

    JavaBreather wrote:
    Hi Techies,
    In a socket connection, can we run the input stream and output stream in separate threads.I would say this is the most common way of handling sockets and threads. esp pre-NIO.
    Iis it a good way? so how to implement it. your guidance will be of great help.Once you have a socket, create two threads, one which does the reading and one which does the writing.
    You could use BlockingQueues to abstract access to these threads. i.e. the reading thread reads something from the socket and adds it to the BlockingQueue. The writing thread take()s something froma second BlockingQueue and writes it to the Socket. This way you add things to write or get thing to process by looking at the BlockingQueues.

  • Inputting from and Outputting to Excel Spreadsheets (.xls): How is it done?

    Is it possible to input from and output to .xls files in a Java program?
    If so, can you point me in the right direction/show me how?

    AlphaBlue wrote:
    jverd wrote:
    What were you hoping for? Someone reading your mind for what exactly you need, and handing you a giftwrapped answer, without you doing any work or clearly communicating a specific problem? This site doesn't really work that way.Fair enough. I'm asking for insight into how to input from and output to a StarOffice Spreadsheet into/from a Java program. (Think java.io except with spreadsheet files instead of .txt files.) I already answered that question.
    I need to accomplish this without the use of a community-created library.That's a bizarre requriement. Why?
    >
    Okay, [here you go|http://lmgtfy.com/?q=communication+between+StarOffice+Spreadsheets+and+Java].
    If you don't have any knowledge or experience, on the matter, please refrain from directing me to a google search. I assure you, I have already performed such a task.How would I know that.
    But okay, let's say I know that. Let's say you bothered to point out that you've already done that. Further, let's say I do have knowledge of the subject at hand. Maybe I'm an expert. Maybe I wrote Star Office and an open source library (which wheel for some reason you must reinvent). You are assuming that I also have psychic powers, so that I can read your mind and know exactly what you've read so far and exactly what parts of your very broad and vague question said reading did not answer.
    In short, you have received answers commensurate with your questions.

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • R12 - Input and Output Tax Classification Codes in Invoices Workbench

    Hello,
    After upgrade from 11i to R12, in invoices workbench, the Tax Classification Code LoV (invoice line field) contains taxes that formerly were both input and output.
    In the E-Business Tax Implementation guide says that :
    Release 11i tax codes and tax groups migrate to E-Business Tax as tax classification
    codes. Payables and Purchasing tax codes migrate as tax classification codes under
    ZX_INPUT_CLASSIFICATIONS. Receivables and Projects tax codes migrate as tax
    classification codes under ZX_OUTPUT_CLASSIFICATIONS.
    In invoices workbench form (APXINWKB), I looked in the record group for the LoV and the values are all from the same view zx_input_classifications_v.
    SELECT lookup_code, meaning, description
      FROM zx_input_classifications_v
    WHERE lookup_type = 'ZX_INPUT_CLASSIFICATIONS'
       AND org_id IN (:inv_sum_folder.org_id, -99)
       AND enabled_flag = 'Y'
       AND nvl(:inv_sum_folder.invoice_date, sysdate)
                    between start_date_active and nvl(end_date_active, :inv_sum_folder.invoice_date)
    UNION
    SELECT lookup_code, meaning, description
      FROM zx_input_classifications_v
    WHERE lookup_type = 'ZX_WEB_EXP_TAX_CLASSIFICATIONS'
       AND org_id IN (:inv_sum_folder.org_id, -99)
       AND enabled_flag = 'Y'
       AND nvl(:inv_sum_folder.invoice_date, sysdate)
                    between start_date_active and nvl(end_date_active, :inv_sum_folder.invoice_date)
    It follows that some formerly Payables and Purchasing tax codes were migrated as 'ZX_INPUT_CLASSIFICATIONS' or 'ZX_WEB_EXP_TAX_CLASSIFICATIONS'.
    Anybody knows how is the 11i tax code data migrated to R12 ? Is this the intended behavior ?
    Thanks

    I'm not a functional expert but I would suggest reviewing the following docs and see if it helps.
    How To Update EBusiness Tax Lookup Codes? (Doc ID 466578.1)
    Payables - Invoices - Invoice Lines Tax Classification LOV duplicating entries (Doc ID 1265315.1)
    Upgraded Tax Classification Codes Not Enabled For Iexpenses (Doc ID 851607.1)
    Thanks,
    Hussein

  • Tax And pricing not calculating automatically on Purchase Order

    Hi All,
    While creating a Purchase order the Taxes are not calculating automatically .We need to manually enter the Tax on the Purchase order .The quantity conversion is not flowing properly so it is effecting the invoice receipt (not distributing the tax  on the invoice.Can any one please tell me the problem or the configuration to check this issue .
    Thanks in Advance

    Hi
    Please check all these below setting.
    1) Which tax procedure using.
    If TAXINJ  - Then check tax code using FTXP for valid tax code which you are using in PO.
    2) If TAXINN then check FV13 - for key combination such as Vendor /Material/Plant or Tax classification or Tax code/Vendor.
    depending upon your are using.
    3) Check Tax code is assigned to co code in Logistics - General-Tax on Goods Movements-India Condition-Based Excise Determination-Assign Tax Code to Company Codes
    4) Check J1ID for material & Chapter ID, Vendor Excise details, Excise determination.
    Once all this is ok then tax value will flow in to PO.
    Regards
    Sudhir

  • Tax and W Tax tabs uneditable for fb60 transaction

    Dear All,
    I have a requirement to make the TAX and WITH HOlDING TAX TABS uneditable in FB60 transaction for specific company codes.
    Kindly guide, how this can be achieved.
    Thanks
    Vinda

    Hi:
           It is not possible to disable them specifically for a company code. However you can disable these using SHD0 or alternatively you can control it via settings in
    SPRO....Financial Accounting.....Financial Accounting Global Settings....WHT....Extended WHT ....Calculations....Define WHT for payment postings...Select Tax code and in control data you can them.
    For a specific co code it will not be possible.
    Regards

  • Change input type and output type

    Hi,
    I am working on BPEL10.1.2. I need to change my input type to take more parameters and output type to send more parameters. Somehow I am not able to find hot to do it. Any help on this will be appreciated.
    Regards
    Arvind Aggarwal

    It's odd. In this case you must create your .xsd file and import it into the project (just see any of the tutorials).
    Don´t you see the .xsd file in the structure tag in JDeveloper?. Maybe you need to recreate your project.

  • Reg Input parmeters and Output parameters.

    Hi frnds,
                I would like to know about Input parameters and Ouput parametets.How they can be used in Smartforms. could please provide me some expamles if any.
    Regards many,

    Defining a Form Interface
    Use
    You define a form interface to send data from your application to a form.
    Integration
    The form interface is a part of the Interface form object and is defined in the Form Builder. The form interface of a form is structured in the same way as the interface of a function module in the system. However, form interfaces do not support Changing parameters.
    Prerequisites
    ●     You have created an Interface form object.
    ●     The application program provides the data that you want to insert in the form. Therefore, you already know the types of the corresponding variables.
    The semantics of the valid parameter types match the semantics of a function module,
    See also:
    Getting Information about Interface Parameters
    Overview of Function Modules (in ABAP Workbench: Tools)
    Creating Function Modules (in ABAP Programming (BC-ABA))
    Procedure
           1.      Open the required interface in the Repository Browser of the ABAP Workbench.
           2.      The Interface tab is displayed. Under Form Interface, double-click the Import node.
           3.      You see a screen for entering import parameters. This screen also shows the standard parameter /1BCDWB/DOCPARAMS. You cannot change this parameter.
    You use this parameter in your application program, to specify the value for the language setting, for example. To display this structure in the ABAP Dictionary, double-click the SFPDOCPARAMS type.
           4.      XML schema-based interface
    ○     The standard parameter /1BCDWB/DOCXML with the type XSTRING is used for sending data from the application program.
    ABAP-based or Smart-Forms-compatible interface
    ○     To insert a new line, choose Insert Line (). Enter the parameter names of the data you want to import. These names are valid for the whole form and do not have to match the variable names in the data collection program. All data types are valid. TYPE can be selected under Type Assignment.
           5.      When you double-click Export, you see the standard parameter /1BCDWB/FORMOUTPUT of the generated function module. You cannot change this parameter.
    You use this parameter in your application program, to make the generated form available as a PDF for further processing, for example.
           6.      To display the exceptions used by the generated function module, double-click Exceptions.
           7.      Activate the interface.
    At runtime, the application program must provide the parameters. If a parameter has been defined in the form, but is not defined when the application program is called, then the output of the form ends with the program crashing (hex dump).
    However, it is also possible that the application program provides parameters that the form does not need. You do not need to mention these parameters in the form; they can also be specified in a different order from the code of the application program. This has the advantage that an application program can work with several different forms.
    Migrated Smart Forms Interface or New Smart Forms-Compatible Interface
    When you edit the interface of a migrated Smart Form or a new interface that is compatible with Smart Forms, the Tables option gives you extra parameters. When you set the Import, Export, and Exceptions parameters, the system also lets you use the standard parameters from the Smart Forms.
    If you have a Smart Forms-compatible interface, you can use the existing application program of this Smart Form without making any modifications. (See also Switching Runtime in Migrating Smart Forms.)
    Result
    You have defined the form interface and you can use the specified parameter names in the form context.
    http://help.sap.com/saphelp_nw2004s/helpdata/en/da/8fcc44d98b4e8bac6f102aa1fc69cc/content.htm

  • Purchase Order with Service Tax and Sales Tax both

    Dear Sir,
    We have a scenario where we have to make a Purchase Order for buying some Software . In the PO , we need to mention Service-Tax as well as Sales-Tax both . Pl guide us as whether it is possible to have such condition available in SAP , if yes then what procedure need to be followed .
    As at present , we can not charge Service Tax in the PO against the Material Purchase . Service Tax can be charged only against the Services being purchased .
    Pl guide us .
    With Thanks and Regards
    B Mittal

    Hi
    If you are talking about a MM pricing procedure create two condition types by copying a standard ( take RAOO and then change the Plus/minus to " Positive" there by u can include this in your PO print as well.
    regards
    Mahesh

Maybe you are looking for