Loading a Previously Saved Task into LabView

I have created a digital generation task in LabView and saved it using DAQmx Save Task.vi. I am able to open and run my task in Measurement and Automation Explorer. Now I am wondering if it is possible to load this task back into LabView and run it in a new program. Can I do this with the task saved from DAQmx Save Task.vi or would I need to go about it differently? Where would I start loading the task in terms of vi's?
Thank you for your help.

I am actually not asking the same question as before. Previously, I was having problems using a LabView-created task in MAX. Now I am attempting to create a task in LabView and bring it back into LabView on a separate VI. I have found the answer, though.
https://decibel.ni.com/content/docs/DOC-15842
Thank you for your help.

Similar Messages

  • Is it possible to load saved audiofiles into a new multitrack project?

    Hi
    Is it possible to load pre-saved audiofiles (from previous version of a multitrack project) into a new multitrack project which includes the exact-same clips used for the pre-saved audiofiles?
    I'm tempted to experiment but am afraid of adversely affecting my pre-saved audiofiles. Any tips would be really appreciated.
    Warm regards
    Peter

    Hi Jim
    Well, since as things stand I can't get 'conform' to work (but hopefully it will once I install Snow Leopard and the new FCS3) I'm trying to come up with a 'workaround' to my problem.
    Say, I have my multitrack project which had been sent from Final Cut 6.
    Nightmare of nightmares, I realise that I need to change some aspects of my picture edit.
    Conform doesn't work for me in STP2. So, as a workaround, instead I ensure that all of my audiofiles are saved (your 'save as...and collect media' suggestion).
    I make some changes to my picture edit to make the video shorter.
    I now need to resend my changed-picture-edit FCP6 sequence to STP2 afresh as a new multitrack project.
    Now in STP2 I will be looking at my new multitrack project, which matches my updated FCP6-changed sequence.
    Ahhh, as I write this I think I'm figuring it out...
    My idea is to open the previously saved 'longer' multitrack edit in addition to my current 'newer' multitrack project, and then manually copy over the matching-duration 'pre-saved' audiofiles (that already have effects applied such as reduce noise) into my new multitrack project.
    In other words, I will replace the exact-same clips in the new multitrack project with the clips from the old multitrack project which have effects applied on them.
    I think I may have answered my own question :o) Does this sound feasible do you think?
    Hopefully this will only be a stopgap workaround until I do a fresh install of Snow Leopard and FCS3, when hopefully the Conform feature of STP will be error-free for me.
    Peter

  • I just updated to the latest aperture, i have projects previously saved on a drive (in the old version) that now won't load... how do i update them?

    Hi,
    i just updated to the latest aperture, i have projects previously saved on a drive (in the old version) that now won't load... how do i update them?

    But, unless I missed something, you are doing the repair with Aperture 3.5 so if 3.5 can't open an earlier library before doing the upgrade then unless I'm missing something the library will be upgraded before the repair is run, no?
    OT:
    You got me there, Frank - I wondered about the same thing, but Aperture is behaving differently, when upgrading a library, depending on if you are launching into the First Aid Tools or not.
    I repeated my experiments, to explore this difference:
    I restored an Aperture 3.4.3 library and launched the First Aid Tools:
    Apertur went directly into First Aid Mode without first asking to upgrade.
    Then "Repair" asked to be allowed to upgrade the library as you predicted.
    During the upgrade "Aperture"showed "Rebuilding", not "Repairing" or "upgrading". 
    Second experiment: When I only double-click the 3.4.3 library instead of repairing, the upgrade alert is very different:
    It takes a shorter time and does not show "rebuilding".
    I am quite certain, that Aperture will use a different upgrade prodecure, when launched into the First Aid panel for repairing or rebuilding.

  • Why do the file extensions (.jpg .gif .png) no longer appear when I click on a previously saved image to use that image's file name (particularly important when saving a series of images using the same root name)?

    I save a lot of images using firefox, often times from a large batch or series of images. It used to be that I would click on a previously saved image and the entire file name including the file extension (i.e. image_example.jpg) would appear in the "save as" line. Now when I click on a previously saved file, the file name appears without the file extension (i.e. image_example). Which means I have to manually type .jpg every time. For a large collection of images that I am hoping to use the same root file name and then add chronological numbers at the end, this has become incredibly frustrating, especially as it is a previously unnecessary task.
    I am using a new Macbook Pro and maybe there's something Apple related to this...? It did not happen on my old PowerBook G4. I have file extensions turned on in System Preferences.
    It should be noted that I have searched high and low and have even gone into the Apple Genius Bar where they were just confused as I was and of course ended by urging me to use Safari (shocker!) as it has all kinds of new extensions and bells and whistles. I seriously feel alone on an island with this dumb, hard to google problem. Thanks so much for any help anyone out there might have.
    I mean: is this as simple as changing a setting in about:config?
    Your assistance is greatly appreciated.

    Thanks for your response Mylenium, however like I mentioned multiple times, I did change all of my trackpad/scrolling settings in system preferences.  And if I wanted to use a normal mouse (or a tablet), I would've gotten an iMac instead of a MacBook Pro.  I travel often and work all over the place, not always with access to a decently sized workspace that would be required for using a mouse or tablet.

  • Loading data from .csv file into existing table

    Hi,
    I have taken a look at several threads which talk about loading data from .csv file into existing /new table. Also checked out Vikas's application regarding the same. I am trying to explain my requirement with an example.
    I have a .csv file and I want the data to be loaded into an existing table. The timesheet table columns are -
    timesheet_entry_id,time_worked,timesheet_date,project_key .
    The csv columns are :
    project,utilization,project_key,timesheet_category,employee,timesheet_date , hours_worked etc.
    What I needed to know is that before the csv data is loaded into the timesheet table is there any way of validating the project key ( which is the primary key of the projects table) with the projects table . I need to perform similar validations with other columns like customer_id from customers table. Basically the loading should be done after validating if the data exists in the parent table. Has anyone done this kind of loading through the APEX utility-data load.Or is there another method of accomplishing the same.
    Does Vikas's application do what the utility does ( i am assuming that the code being from 2005 the utility was not incorporated in APEX at that time). Any helpful advise is greatly appreciated.
    Thanks,
    Anjali

    Hi Anjali,
    Take a look at these threads which might outline different ways to do it -
    File Browse, File Upload
    Loading CSV file using external table
    Loading a CSV file into a table
    you can create hidden items in the page to validate previous records before insert data.
    Hope this helps,
    M Tajuddin
    http://tajuddin.whitepagesbd.com

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Issue with Bulk Load Post Process Scheduled Task

    Hello,
    I successfully loaded users in OIM using the bulk load utility.  I also have LDAP sync ON.  The documentation says to run the Bulk Load Post Process scheduled task to push the loaded users in OIM into LDAP.
    This works if we run the Bulk Load Post Process Scheduled Task right away after the run the bulk load.
    If some time had passed and we go back to run the Bulk Load Post Process Scheduled Task, some of the users loaded through the bulk load utility are not created in our LDAP system.  This created an off-sync situation between OIM and our LDAP.
    I tried to use the usr_key as a parameter to the Bulk Load Post Process Scheduled Task without success.
    Is there a way to force the re-evaluation of these users so they would get created in LDAP?
    Thanks
    Khanh

    The scheduled task carries out post-processing activities on the users imported through the bulk load utility.

  • Custom "Save as PDF" directly to a destination folder overwrites previously saved PDF files

    Custom "Save as PDF" directly to a destination folder overwrites previously saved PDF files
    As many of you will know, within Mac OSX it is possible to "print" any document off as a PDF.  You simply prepare your document then invoke the "Print" command and in the resulting print dialog box, click the "PDF" button in the bottom left corner which spills out a menu with various options including "Save as PDF..." as shown in the image below:
    Selecting the last option "Edit Menu" permits users to add specific folders to which a PDF of the document will be saved.  As you will note from the image above, I have created a number of destinations such as "Bank Transfers" (for anything on screen in Safari I wish to preserve when using my on-line banking facility); "Bind" (which I use with an Automator workflow to consolidate many PDFs into one long document); "Officeworks" (for stuff I need to copy to a USB stick and have printed on on large plotters); "iPad" (for anything I need to put on my iPad through "iAnnotate" or "Documents") etc
    The problem I have discovered is that with the introduction of Mountain Lion, PDFs that get saved directly to a destination folder get overwritten by later versions of PDFs that are produced from the same document.  This is fine when working on a single document that you may want to have the latest print of a document but not so when you produce many different PDFs from the same browser window in Safari as you surf through a number of websites etc.
    Prior to Mountain Lion, pervious versions were never over-written but rather preserved them with new versions having version number suffixes added e.g., untitled.pdf, followed by untitled1.pdf, untitled2.pdf, untitled3.pdf etc.
    Does anyone else have this problem?
    Does anyone have a solution to this problem? (other than a workaround like switching to Finder to rename or move files around)

    The "Save to Web Receipts" bug existed for a long time - here's a MacOSXHints article offering a workaround in 2007.  Here's another reference to it in 2009.  Your contention that:
    Prior to Mountain Lion, pervious [sic] versions were never over-written.
    is, I'm afraid, wrong.
    Apple fixed (worked around) that bug by using a .pdfworkflow, as I indicated.  As I also indicated, this file can't be opened with Automator (or I just don't know how, which is no great surprise ).  But it's the answer to your problems.
    You can either wait for Apple to fix what is, admittedly, a huge bug in their PDF Services feature, or you can find a way of implementing the same fix they did for your own folders.
    I should be doing the same, as I use PDF Services regularly, but I'm too lazy and have had too little success with Automator in general to give it a shot.  You may have more success than I did.
    Good luck

  • PSD files rolling back to previous saved points on their own

    I've been using Photoshop CC for awhile now and I'm quite happy with it except for one problem which I've been noticing. The PSD files seem to roll back to previous saved points after closing and saving them. It's not immediate, but after a few days it happens. Which means I've been losing hours of work across all my files. I'm not sure if it's connected, but it usually happens after every time I update CC, which is very frequent. Either that or Photoshop has never been saving the files properly in the first place.
    I use Photoshop CC on two computers: Macbook Pro Mavericks and Desktop PC Windows 8.1. I transfer PSD files between them constantly using an external hard drive. Which brings up a scary point, identical files on both my computers and hard drive roll back to previous saved points, so I am pretty sure it has nothing to do with my hardware.
    Anyone else noticing this or is it just me?

    Forgive me, but let me add to Noel's comments.
    Is the time signature on both computers the same? That is, when you look at the time on either computer, is it correct?
    Also, since you are on CC, have you considered saving the images you are working on in you Creative Cloud folder? You can start work on one computer, save and close the image, then when you are on the other computer, open that image directly from your CC folder on that computer and continue right from when you left off.
    Here are a couple of points to be aware of and to consider for this to work: CC works just like DropBox, you will have a CC folder on both of your computers and they will contain any file(s) you put into them. When the Cloud notices something new or updated, it will transfer the file(s) up to the cloud. When you are on the other computer, it will notice there is a new or updated file(s) on the cloud and will download them automatically to your other computer. The file(s) are ON you computer, not solely in the clouds. To make this work though, you have to have your CC account be started and running for any work to transfer into or out of your computer. If the CC application isn't running there's nothing to control this mechanism. (E.g., if PS isn't running, you cannot open a PS file, if CC isn't running it can't do the transfer thing. [The CC application is the icon that is red with the interlocking infinity like thing in the middle.]
    You have 20 GB of storage on the CC and that should be big enough to hold most projects till they are done.

  • Uploading a previously saved pdf

    When I try and upload a previously saved pdf I get the following error message, "At least one signature has problems.  You cannot save data typed into this form."

    What do you mean by searchable? It is a scan or what? If it is regular text you simply use ctrl-F to find a word. If it is a picture, you have to run OCR. For a legal document, you probably want to retain the scan as is and put the search behind it. So you would select OCR and set the option to searchable and exact -- not changing the graphic. There is a second searchable option that reduces the graphic some, but might be a bad choice for legal work. Realize that the searchable option will add a layer of text on a layer behind the graphics and the file will expand in size. The third alternative is ClearScan that actually replaces text found with the text and only retains the graphics for non-text parts. This latter is probably not appropriate for a legal use.
    Consider all the controversy over Obama's PDF of his birth certificate. That is a case where retaining the original graphic would have been appropriate. Instead the PDF came out looking as though it had been manipulated. For legal documents, this is where retaining the original is important. I am not sure of the status of legal documents from a PDF perspective, but at least I have tried to give you some heads up. There may actually be some lawyers who drop by that could comment.

  • Read 16 bit binary image into LabVIEW, convert to TIFF and display

    Hello,
    I have what is probably a simple problem - I have RAW images (1536 x 1944) saved in binary files consisting of unsigned 16 bit integers that I need to read into LabVIEW and be able to view on the front panel (so presumably convert to TIFF or something like that).  
    This is not information that was written using LabVIEW - it was actually written using the fwrite command in C++ if that is any help, and has no header information.
    I found this example VI:
    http://forums.ni.com/t5/LabVIEW/read-the-raw-image-file/td-p/505871/page/2
    The picture of the final VI solution that is posted at that link - it looked promising, but the flatten pixmap function appears to only take in 8 or 24 bit inputs, not 16 bit inputs, and I was unsure it if was possible to configure it for 16.  Is it possible to use this same structure to read in my binary files as well?  If so, what changes need to be made?  Otherwise do I need a different function?  I am very new to image processing in LabVIEW.  
    Thank You.

    Hello,
    The flatten pixmap function does not appear to be able to take in 16 bit inputs and I cannot find a function that flattens a 16 bit pixmap.  Is there any way you can convert your binary file into that of 8 or 24 bits?  
    Also, I don't know if this other forum thread may help or give context to the subject.
    http://forums.ni.com/t5/LabVIEW/Can-a-16-bit-image-be-displayed-in-a-picture-control/td-p/33347 
    Cameron T
    Applications Engineer
    National Instruments

  • Tips and best practices for translating C into LabVIEW? SERIOUS newbie...

    I need to translate a C function into LabVIEW.  This will be my *first* LabVIEW project.  I've been reading some tutorials, and I'm still struggling to get my brain out of "C/C++ mode" and learn the LabVIEW paradigms.
    Structurally, the function that I need to translate gets called from a while-loop and performs a bunch of mathematical calculations. 
    The basic layout is something like this (this obviously isn't the actual code, it just illustrates the general flow control and techniques that it uses).
    struct Params
    // About 20 int and float parameters
    int CalculateMetrics(Params *pParams,
    float input1, float input2 [etc])
    int errorCode = 0;
    float metric1;
    float metric2;
    float metric3;
    // Do some math like:
    metric1 = input1 * (pParams->someParam - 5);
    metric2 = metric1 + (input2 / pParams->someOtherParam);
    // Tons more simple math
    // A couple for-loops
    if (metric1 < metric2)
    // manipulate metric1 somehow
    else
    // set some kind of error code
    errorCode = ...;
    if (!errorCode)
    metric3 = metric1 + pow(metric2, 3);
    // More math...
    // etc...
      // update some external global metrics variables  
    return errorCode;
    I'm still too green to understand whether or not a function like this can translate cleanly from C to LabVIEW, or whether the LabVIEW version will have significant structural differences. 
    Are there any general tips or "best practices" for this kind of task?
    Here are some more specific questions:
    Most of the LabVIEW examples that I've seen (at least at the beginner level) seem to heavily rely on using the front panel controls  to provide inputs to functions.  How do I build a VI where the input arguments(input1, input2, etc) come as numbers, and aren't tied to dials or buttons on the front panel?
    The structure of the C function seems to rely heavily on the use of stack variables like metric1 and metric2 in order to perform calculations.  It seems like creating temporary "stack" variables in LabVIEW is possible, but frowned upon.  Is it possible to keep this general structure in the LabVIEW VI without making the code a mess?
    Thanks guys!

    There's already a couple of good answers, but to add to #1:
    You're clearly looking for a typical C-function. Any VI that doesn't require front panel opening (user interaction) can be such a function.
    If the front panel is never opened the controls are merely used to send data to the VI, much like (identical to) the declaration of a C-function. The indicators can/will be return values.
    Which controls and indicators are used to sending data in and out of a VI is almost too easy; Click the icon of the front panel (top right) and show connector, click which control/indicator goes where. Done. That's your functions declaration.
    Basically one function is one VI, although you might want to split it even further, dont create 3k*3k pixel diagrams.
    Depending on the amount of calculations done in your If-Thens they might be sub vi's of their own.
    /Y
    LabVIEW 8.2 - 2014
    "Only dead fish swim downstream" - "My life for Kudos!" - "Dumb people repeat old mistakes - smart ones create new ones."
    G# - Free award winning reference based OOP for LV

  • Difficulty with previously saved forms

    I have previously saved pdf forms.  When I open these documents I cannot see the data entered in every section at the same time.  Instead I can only see data in a single section I have currently clicked in.  In addition, when I print the document only this same section prints - and none of the other sections print even though I can see the data - but again only when I click in those sections.

    Could you please confirm that your Mobile Link was turned on while trying to get the documents signed? Also, please let us know the Adobe Reader version that you are using.
    Also, as you mentioned that you do not get the option to save the document to Acrobat.com, did you tap on the overflow menu an not get the option to save the document to Acrobat.com? This scenario is only possible if your document is already saved to Acrobat.com. For all local documents, you must get this option.
    Also, signature tool gets 'flattened'(embedded into the document) as soon as you close the file, hence it is a more reliable way of adding the signatures. Once a signature is added, you can always clear it to add a new signature.
    Could you please also confirm that the 'Recents' list does not have different documents with the same name?
    Thanks,
    Adobe Reader Team

  • Trying to load pre-2006 lso files into Logic 8 on my new intel Mac Pro

    I'm trying to load pre-2006 lso files into Logic 8 on my new intel Mac Pro, but they crash the app every time. files saved 2006 and later load OK. Anybody shed any light on this or know of a fix (other than the laborious work-around of re-saving all early lso's in logic 8 on the PPC and physically moving them via a portable drive to the new machine)?
    (BTW, those files also crash the Logic 7 installed on the intel Mac.)

    Upon further work with my migration, I find I was incorrect in the time frame assertion of these failed files: apparently, the creation/modification dates have nothing to do with it and the reality is that some files fail at random, while others load just fine. right now it looks to be about 50/50 Beats me why this is.
    Anyway, for those interested, I've discovered a very helpful key to the quick processing of file data for a plethora of files (i. e. re-saving the "bad" lso files as Logic 8 projects on the older CPU and moving them over to my new machine), and that is the 'control key/launch' of the app. I hadn't realized that Apple had added this feature to Logic to enable easier work on multiple song files when one does not require the audio.
    For those who don't know about it, the 'control key/launch' provides an option to disable the core audio at app start-up, thus allowing files to load instantly without loading the plug-in library files associated with tracks - great for copying MIDI data between song files, or in this case, re-saving a batch.
    Still puzzled, though, as to why so many older lso files crash Logic when they attempt to load on my new CPU.

  • TS4196 Messages Beta won't load my previous conversations and my contacts. What can I do?

    Messages Beta won't load my previous conversations and my contacts. What can I do?

    Try:                                               
    - iOS: Not responding or does not turn on
    - Also try DFU mode after try recovery mode
    How to put iPod touch / iPhone into DFU mode « Karthik's scribblings
    - If not successful and you can't fully turn the iOS device fully off, let the battery fully drain. After charging for an least an hour try the above again.
    - Try another cable       
    - Try on another computer                            
    - If still not successful that usually indicates a hardware problem and an appointment at the Genius Bar of an Apple store is in order.
    Apple Retail Store - Genius Bar                                     

Maybe you are looking for