Process code used for DELFOR inbound idoc

I have to create one interface in that i have to capture the transfer orders from that i have create the DELFOR idoc which used for posting the scheduling agreements.
These agreements will pos teh requirement to the forecast tab.
Please let me know the process code used for this inbound DELFOR idoc.
Regards,
Uday

Hi Uday,
Firstly: Yes. Delins function module can be used for Delfor. Either case you will make the sytem update the forecast tab of scheduling agreement.
Secondly: Make sure you have one valid sales scheduling agreement maintained for that sold to, customer-material, ship to partner description.
Let me know if you have further concerns;
Regards,
Syed Nasir

Similar Messages

  • How to block the status mail for an inbound Idoc to a specific user

    Hi,
    I have to stop sending the error status mail to a specific user depenidng on Partner Type. This will trigger when an inbound Idoc contains status error(message type INVOIC &ORDRSP).This user needs other mails which are getting triggered with the same Idoc for the same partner. Basically, the requirement is to block only the status mail for that user. The statndard task for this is TS70008125 and it uses the agent determination rule 30000001 (Idoc Administrator).in WE46, this task is assigned to process code EDIR. I have copied the task to a custom task and changed the agent determination rule. I would like to know how will I configure this task so that this custom task will trigger for the status error, without altering other workflows for the same message type & the partner type. Or is there any other way to block the mail?
    Thanks,
    Santosh

    Hi,
    I have done the required coding to exclude the specific agent from the rule,copied the task and its ready. My question is how do I map this custom task to a particular partner type, for the message type INVOIC in WE20? (The message type used for the inbound Idoc is INVOIC). I checked the Partner profile in WE20. Most of the process code is using function module as the processing type.
    Thanks,
    Santosh

  • How to write processing code for the Inbound IDOC to the R/3 ??

    i m having a file -> XI-->R/3 scenario,
    IDOC is being sent from XI to R/3,
    can u guide to me to write a processing code for the Inbound IDOC to the R/3,
    since i m new to ABAP and ALE technology, can we provide me any blog for doing that.......or guide me....

    Hi Sudeep
    Simple File to Idoc scenarion blog
    /people/ravikumar.allampallam/blog/2005/06/24/convert-any-flat-file-to-any-idoc-java-mapping - Any flat file to any Idoc
    Also see the blog
    <a href="/people/ravikumar.allampallam/blog/2005/02/23/configuration-steps-required-for-posting-idocsxi Steps for Posting IDOC's</a> by Ravikumar.
    Configuration of IDOC adapter
    http://help.sap.com/saphelp_nw04/helpdata/en/96/791c42375d5033e10000000a155106/frameset.htm
    Regards
    Santhosh
    *Reward points if useful*

  • SAP T-code - What transaction for reprocessing inbound IDocs?

    Hello,
    From what I know, WE19 is used for reprocessing outbound IDocs...
    What is the transaction for reprocessing inbound IDocs?
    Thanks.
    Moderator message: Please use a meaningful subject for your questions.  I've edited it for you this time
    Edited by: Matt on Nov 6, 2008 1:39 PM

    Hi,
    We19 is used for testing the IDOC.
    We can fill the parnter details & idoc segmetns manually and can try to post it.
    This is a test tool for idoc processing manually. not specifically outbound or inbound.
    Regards
    Manasa

  • Workitem is not generating for an Inbound Idoc

    Hi All,
    The problem I am facing, when inbound idoc is processing in SAP, if there are any errors occurred, it would generate a work item and that will be sent to user Inbox (SBWP) as per the workflow. Here it is not generating a work item for an inbound idoc where is there is an error. So user is not receiving work item mail to inbox, to check about the idoc error. This idoc has been processed through the function module.
    Could you please let us know your input on this issue.

    Hi Hitu,
    The problem could be at multiple places.
    To debug check the workflow which sends the workitem to the user when an error occurs.
    Check if this workflow is getting triggered when the error occurs. If yes, then check the step whre this workflow fails.
    Else, check the event linkage of this workflow and check if the associated event is getting triggered or not. If yes, check if the event linakge is active for the workflow.
    There could be other places as well to diagnose the error.
    Check if these inputs gives you some direction.
    Regards,
    Saumya

  • How can i write the below code using "For all entries"

    Hi
    How can we write the below code using "for all entries" and need to avoid joins...
    Please help
    SELECT aaufnr aobjnr aauart atxjcd a~pspel
    agstrp awerks carbpl cwerks
    INTO TABLE t_caufv
    FROM caufv AS a
    INNER JOIN afih AS b
    ON aaufnr = baufnr
    INNER JOIN crhd AS c
    ON bgewrk = cobjid
    AND c~objty = 'D'
    WHERE ( a~pspel = space
    OR a~txjcd = space
    OR NOT a~objnr IN
    ( select OBJNR from COBRB AS e
    WHERE objnr = a~objnr ) )
    AND a~werks IN s_plant
    AND a~auart IN s_wtype
    AND NOT a~objnr IN
    ( select OBJNR from JEST AS d
    WHERE objnr = a~objnr
    AND ( dstat = 'A0081'OR dstat = 'A0018' )
    AND d~inact 'X' ).
    Reward points for all helpfull answers
    Thanks
    Ammi.

    Hi,
    SELECT objnr objid aufnr
            from afih
            into table t_afih.
    SELECT objnr
            from JEST
            into table t_JEST
            where stat = 'A0045'
               OR stat = 'A0046'
               AND inact 'X'.
    SELECT objnr
            from COBRB
            into table t_cobrb.
    SELECT arbpl werks objid objty
          from crhd
          INTO table it_crhd
          FOR ALL ENTRIES IN it_afih
          WHERE objty eq 'D'
          AND gewrk = it_afih-objid.
    SELECT aufnr objnr auart txjcd pspel gstrp werks aufnr
            FROM caufv
            INTO table t_caufv
            FOR ALL ENTRIES IN it_afih
            WHERE aufnr = it_afih-aufnr
              And pspel = ' '
              AND txjcd = ' '
             ANd objnr ne it_crhd-objnr
              AND auart in s_wtype
              AND werks in s_plant.
             AND objnr ne it_jest-objnr.
    dont use NE in the select statements, it may effect performance also. Instead use if statements inside
    loops.
    loop at t_caufv.
    read table it_chrd............
      if t_caufv-objnr ne it_chrd-objnr.
      read table it_jest..........
       if   if t_caufv-objnr ne it_jest-objnr.
        (proceed further).
       endif.
      endif.
    endloop.
    hope this helps.
    Reward if useful.
    Regards,
    Anu

  • Transaction Codes used for clearing Open Items

    Hi Gurus,
    I want to know all the T.Codes used for clearing normal transactions and Open Items. Please tell me when to use which code (viz., while clearing vendors, customers, WH Tax, etc)
    Your earliest reply will be of great help. and naturally i will award you
    Thank you

    Hi,
    F-03     Clear G/L Account
    F-04     Post with Clearing
    F-30     Post with Clearing
    F-32     Clear Customer
    F-39     Clear Customer Down Payment
    F-44     Clear Vendor
    F-51     Post with Clearing
    F-54     Clear Vendor Down Payment
    F-91     Asset Acquis. Posted w/Clearing Acct
    F.13     Automatic Clearing without Currency
    F.19     G/L: Goods/Invoice Received Clearing
    F13E     Automatic Clearing With Currency
    F13L     Autom. Clearing Spec. to Ledger Grp
    Regards,
    Eli

  • What is the message type and basic type used for catsdb in idoc help me

    what is the message type and basic type used for catsdb in idoc help me
    ANY IDOC FOR CATSDB PLEASE TELL,
    Regards,
    Jagrut BharatKumar Shukla
    points will be awarded

    Hi,
    Check these message types.
    ATT_ABS_WITH_COST and WORKSCHED_WITH_COST.

  • Different transaction codes useful for Performance Monitoring

    Hi Experts,
    Please can you guide me on this question, as to what are the different transaction codes useful for Performance Monitoring i.e. workload statistics and database statistics? What kind of statistics do each of these codes provide?
    Many thanks,
    Mithun

    Hi Mithun
    In performance issuses you need to look in terms of many ways that is..
    Workload analsys
    ST03N: Statistics Regards Locallly
    ST03G: Statistics Regards Golbally
    STAD: Individual Statistics Regards
    STATTRAACE: Individual Statistics Regards Trace
    ST07 : User Distribution
    Buffers and Memory
    ST02 : Buffers and Memory and swaps monitoring
    ST10: Table Acess
    OS Monitoring
    OS04: Locally monitoring
    OS07: Remotely monitoring
    OS01: LAN check
    DataBase Side
    ST04: Performance overview
    DB01: Exclusive locks
    DB02: Tables/Indexes
    BackgroundJobs monitor
    SM37
    other tcodes
    ST22: Abap Dumps
    SM12: Lock Entries
    SM56: NumberRange Buffers
    SU56: User Buffer
    all above transactions are need to monitor for Performance.
    Regards
    Bandla

  • Wrong processing code used in inbound processing

    Hi
    We are on 4.6C and have configured a dist. model for material distribution using message type MATMAS. On receiving end IDOC is properly received but processed with code 51 which is "IDOC Interface not complete for message type".
    While testing it in we19 with standard inbound option we found that its using process code AFSA though in the inbound partner profile its set to MATM. (In the table tbd52 AFSA is not even defiend for MATMAS but for ARTMAS) While using INBOUND FM option there with FM specified as IDOC_INPUT_MATMAS_MDM we were able to process the IDOC properly in receiving end. We are not sure which code is being defaulted to during automatic inbound processing but we guess its same as AFSA as shown during standard inbound option. It will be of great help if someone can explain what might have gone wrong.

    Dear Renjith
      I got it. Actually the process code was correctly attached in sending system but in receiving system it was unfortunately set to AFSA which I ignored. Thanks a lot for the response.
    regards
    saurav

  • Segment E1IDBW1 is missing for the inbound idoc type PAYEXT

    Hello,
    the above segment is missing in the inbound idoc - basic type pexr2002.
    I have checked in TC-we30 and its available there.
    but still the idoc is getting generated without this segment.
    can someone suggest.
    thanks
    Arun

    Hi All,
    I have a similar query to that of Penny. We are able to get the standard IDOC to generate with segment E1IDBW1. It contains the standard fields INPWEEMP, INPWTXT1, INPWTXT2. However, we have a requirement to manipulate the standard contents of these fields. We wanted to use user exit EXIT_SAPLIEDP_002 to do this.
    I have maintained user exit EXIT_SAPLIEDP_002 but when we enter this on creation of the IDOC the segment E1IDBW1 does not seem to be available in table EDIDD_TABLE for manipulation. Can you advise please if (1) you were able to get E1IDBW1 available to edit in exit EXIT_SAPLIEDP_002  and (2) were you able to manipulate the contents of INPWTXT1, INPWTXT2 etc?
    We have done the following steps:
    - BTE 2441 is available on our release
    - house bank has been setup as an In House Cash Center which allows E1IDBW1 to be generated
    - entry has been maintained in table FEDICUS with Message Type = PAYEXT, BasicType = PEXR2002, Extension = Blank, Seg Type = E1IDBW1
    - code maintained in ZXF08U04
    The system enters ZXF08U04 but E1IDBW1 is not available there for manipulation.
    Can you advise what we might be missing please?
    Thanks and regards
    Mike

  • Program used for EDI Inbound

    Hi
    As RSEOUT00 is   a report used for the edi outbound process, can some 1 help me and tell me which report to run for startinmg the inbound process?
    I know the Function module      EDI_DATA_INCOMING is used for the process,but its supposed to be automatically called by the Subsytem.
    Which report are we supposed to run to start the inbound process?
    People  who have a concrete andwer(Name of the Report or detailed process knowledge need only reply,othrs please excuse)

    Hi,
    For inbound process generally the file from legacy system comes in xml FORMAT to EDI subsystem now it will be converted to IDOC file format or an idoc format.
    if u get in IDOC file format then u need to use WE16 tcode .Now u need to put ur IDOC file in the application server directory and in WE21 U need to create a file port and then in the inbound file tab need to specify the application server path where u stored the IDOC file.
    Now go to WE16 and give the directory path as well the file port and execute ,u will see an idoc will be created and can get the datails in WE02/WE05 tcode.
    if u straight away get in idoc format then u can test in WE19 tcode by using the message type radio button ,Give the control record information and data record information   and then u need to specify the FM which actually triggers the idoc inWE57 and u need to give that name in WE19 .Now press on execute button u will find the creation of idoc.
    For inbound process the only method we have is processcode method which is attached to a FM in we42 ,whcih trigggers the idoc.
    please have a look at the below programs
    RBDMANI2
    RBDMANIN
    Regards,
    Nagaraj

  • Updating transaction MD61 using information from inbound IDOC

    Is there any standard IDOC type for Planned Independent Requirement(having fields of table PBED and PBIM in its segment)?If such an IDOC type doesnt exist then i have to go for custom idoc type creation
    I have an inbound IDOC having 4 fields in its segment
    1.SAP Material number
    2.Plant
    3.Plant Quantity
    4.Requirement date
    Now i have to use this information in IDOC to update transaction MD61(create a planned order).
    constraints:
    in MD61 screen1
    SCREEN 1
    Material radio button is defaulted to on. This must also be set in the interface. Material number will be valued from the input file.
    Plant will be valued from the input file.
    Version number defaults to 00, so that value should also be used for the interface.
    Planning Horizon From and To dates will be the same date and valued from the input file.
    Planning Period should be set to D for Day
    SCREEN 2
    Material number should default from SCREEN 1 input. The interface should also do this.
    MRP Area will default from the Plant on SCREEN 1 input. The interface should also do this.
    Version will default from the 00 on SCREEN1. If needed on interface, also default to 00.
    Version Active checkbox will be checked by default.
    Base Unit of Measure will default from material master.
    Only a single date column will appear which should be the date input on SCREEN 1. Value this column with the quantity from the input file.
    Input fields are highlighted in screenshots below.
    After input is complete, use the SAVE icon to store the data.
    Kindly tell me the approach to prepare this interface?

    Done,used BAPI_REQUIREMENTS_CREATE TO DO SO

  • Abap code used for reporting

    Hi Gurus;
    Can some one send me the abap codes used mainly for reporting OR can some one give me some sample code used in reporting. my mail id "[email protected]".

    smod > rsr0001 > EXIT_SAPLRRS0_001 > ZXRSRU01 >
    CASE I_VNAM.
    WHEN 'ZE_FPPT'.
        CALL FUNCTION 'Z_VAR_FP4'
          EXPORTING
            I_VNAM        = i_vnam
            I_STEP        = i_step
            I_T_VAR_RANGE = I_T_VAR_RANGE
          IMPORTING
            E_T_RANGE     = E_T_RANGE.
    FUNCTION Z_VAR_FP4.
    ""Local Interface:
    *"  IMPORTING
    *"     REFERENCE(I_VNAM) LIKE  RSZGLOBV-VNAM
    *"     REFERENCE(I_STEP) TYPE  I DEFAULT 0
    *"     REFERENCE(I_T_VAR_RANGE) TYPE  RRS0_T_VAR_RANGE
    *"  EXPORTING
    *"     VALUE(E_T_RANGE) TYPE  RSR_T_RANGESID
    data: l_s_range type rsr_s_rangesid.
    data: LOC_VAR_RANGE LIKE RRRANGEEXIT.
    data: year(4).
    data: mth(2).
    IF I_STEP = 2 .
      LOOP AT I_T_VAR_RANGE INTO LOC_VAR_RANGE WHERE
            ( VNAM = '0I_FPER' ).
          year = LOC_VAR_RANGE-HIGH+0(5).
          mth = LOC_VAR_RANGE-HIGH+5(2).
               if mth < 10.
            concatenate '0' mth into mth.
          endif.
          concatenate year '0' mth into L_S_RANGE-HIGH.
          L_S_RANGE-SIGN = 'I'.
          L_S_RANGE-OPT  = 'EQ'.
          APPEND L_S_RANGE TO E_T_RANGE.
        ENDLOOP.
      ENDIF.
    ENDFUNCTION.
    Regards,
    BWer
    Assign points if helpful.
    Message was edited by: BWer

  • User eixt for modifying inbound idoc (delins) not working

    hi all,
    Inbound IDoc DELINS.DELFOR02 is sent from customer to vendor to create forecast delivery schedule lines (VA32). E1EDP16-WMENG has the delivery quantity and it is mapped to VBEP-WMENG.
    I need modify E1EDP16-WMENG accordingly (based on some business rules) and this change should also be reflected to VBEP_WMENG.
    I tried to modify the idoc_data in user exits: EXIT_SAPLVED4_002, userexit_zuordnen_idocs. During debug, I can see the idoc is modified, but the final forecast delivery is not updated (VA33).
    Anyone can help?
    Thanks!
    Regards
    Hui

    Hi Sandip,
    Inside userexit_zuordnen_idocs, i updated the table idoc_data_1 (I changed the delivery quantities of the schedule lines), but it dosen't work. In Va33, the delivery quantities are not changed.
    Any other input?
    Thanks!
    Regards,
    Hui

Maybe you are looking for