Absolut timing/ triggering of CI pulse time

Hi,
I am fairly new to NI-DAQmx and trying to measure the length and absolute position of digital pulses (high times and low times) relative to a second trigger signal.
The VI CI Pulse Time allows me to measure the low and high times, but I can not get the absolute timing in relation to the trigger signal. The relevant code part so far is attached below. The generation of the trigger signal is not shown, but working correctly. Nicely visible on the oscilloscope.
I was using CI Period in another situation and I could set the variable CI.CtrTimebaseSrc to my trigger signal in order to only measure during the high of the trigger signal. What is the reason this is not working with CI Pulse Time?
Thank you very much,
Teresa
Attachments:
Pulse time measurement.PNG ‏74 KB

Hi Julika,
thank you for your response.
I've made a small picture showing the actual measurement signals. On the top there is the trigger signal, which defines the beginning of the measurement (T=0 s) as well as the range of the measurement. (Pulses after the trigger signal should not be recorded, but this could also be done in LabVIEW later. It doesn't  have to happen with DAQmx VIs.) The measurement signal consists of random short pulses. I want to extract the time at which those pulses arrive relative to the rising edge of the trigger signal.
Using "CI Pulse Time" I could extract the length of  high and low of every pulse as an array and by adding these values up, I had the time between the measurement pulses. Sadly I could not get the pulse positions in relation to the trigger. Thats why I need to somehow trigger the measurement of "CI Pulse Time".
Maybe there is an easier way to program what I want to achieve that I dont know of?
Thank you,
Teresa
Attachments:
measurement_overview.png ‏21 KB

Similar Messages

  • DAQ triggering after X pulses

    I'm working on optogenetic stimulation and silencing of dopaminergic pathways in rat brains.  Currently I have a labview program that once triggered, sends a pulse train that controls a laser.  However, instead of the user triggering the pulse train, we are now letting the rats press a level to trigger it.  The problem arises in that I need to the program to now trigger only after X pulses instead of on every pulse.  To make matters more complicated, X is a function not just a variable:
    X=5*e^((LaserCount+1)*.2)-5
    where LaserCount is the amount of times the program has been triggered and sent the pulse train.  I been unable to find away to even set it to trigger after a constant number of pulses. Any help in this matter is appreciated.
     - Adonis

    Hi Adonis,
      I came across a Dev Zone article that contains an example VI to do something similar to what you're trying to do.  In this VI, a counter is used to generate a start trigger after a number of pulses have been detected.  It also contains a stop trigger set up the same way. 
    Using the DAQmx Export Signal Property node with CtrOutEvent.Output Behavior property, you can select "toggle" to change output states (in the example, they are using a pulse) once a certain number of pulses has been detected on the input counter channel. 
    You could use some of the math/ numeric functions to set up your equation and use the output of that to set your 'number of ticks before' control as seen in the example.
    Hope this helps get you going in the right direction. 
    DevZone Example:
    http://decibel.ni.com/content/docs/DOC-7372
    Regards,
    Austin S.
    National Instruments
    Academic Field Engineer

  • Unable to measure pulse time, using Counter pulse time 1samp.vi

    Hi
    I am using pci6624 board to measure pulse time . I have selected counter 0 to measure  pulse time & connect the signal to gate0+ & gate0-.
    When i used Counter pulse time 1samp.vi to read the pulse time , i am not able to read the value and i am getting following error msg . If i used Counter DBL 1samp.vi  i am getting out put.
    Please help me
    Attachments:
    pulse_time_measurment.vi ‏11 KB
    Counter_errors.JPG ‏44 KB

    Hi,
    You can try changing the mode to counter -> single sample -> DBL in Daqmx read function
    You can also try this example 'Meas Pulse Width-Buffered-Cont.vi' or related examples from NI Example Finder.
    Cheers,
    Sahil Gupta
    NI India

  • Triggering outgoing TTL pulse based on incoming position signal

    Hello all,  
    For my research study looking at reflex responses in human subjects, I have the subject's ankle attached to a motor that moves the joint back and forth through a 30 degree range. I am sending a 5-V TTL pulse from a NI BNC-2090A to a constant current stimulator (Digitimer DS7A) each time the ankle passes a specific angle. I want one pulse sent out each time the ankle passes the target angle. The VI works fairly well, but it does not fire at the exact target I set 100% of the time (sometimes off by a degree or so). We are sampling at 2000 Hz, reading 100 scans per channel with the DAQmx Read.vi. The median of the position signal is constantly being evaluated and sent to the In Range and Coerce function, the output of which is sent to a Case Structure. The min and max inputs to the In Range function are set at what I have found to be the ideal parameters (translates to roughly + or - 0.5 degrees). If I set them any narrower, the pulse is not always sent out. 
    I've attached what I believe are the relevant parts of code. If anyone has any ideas of how I can make this vi run more smoothly and accurately, it would be much appreciated. Thanks in advance.  
    Attachments:
    Trigger outgoing pulse based on position.vi ‏58 KB

    Triggering with the DAQ card:
    The 6229 unfortunately doesn't support analog triggering.  Comparable cards which do are the 625x or 635x (also the more expensive 628x and 636x).  On such cards there is an Analog Window Triggering feature that would produce the exact signal I think you are looking for (see X Series user manual).  So if you have one of these handy or are looking to acquire new hardware then this would be a good solution.
    Software detection for trigger signal:
    If you want to continue using the 6229, see if something like the following would give what you want.  Keeping the loop simple might help make this approach usable for your application depending on how long the window is you are looking for.  It still isn't as reliable as a hardware-triggered approach.
    External circuitry:
    The simplest external comaprator circuit would just consist of an op-amp.  You could use the analog output to set the threshold.  The comparator output could trigger a counter output which would issue a pulse of some pre-defined width.  The issue here is that the output signal would not be high during your exact window, but rather a fixed amount of time starting at the beginning of your window (so if the speed is changing it might not be what you are looking for). 
    You could in theory just build your own external circuit to generate the exact window trigger as well at which point you wouldn't need to output anything from the DAQ card (except for perhaps control voltages for this circuit).  If you're at a university perhaps you could look for a EE student that you might be able to contract the work out to?
    Best Regards,
    John Passiak

  • How do I set up timed access control for a time past midnight

    I would like to set up timed access control for a number of my devices that would stretch past midnight...   An open network from 6AM to 2AM - effectively only blocking access from 2AM tp 6AM in the morning....
    Any notion on how to do this?  the timed factily does not like the setting to enable 6A to 2A, says the times are invalid. 
    Setting up timed access from 6AM to 11:59P, then doing another from 12A to 2A causes a service "hiccup" of 1 Minute.

    Set up each device as follows:
    Everyday........Between.......6:00 AM and 11:59 PM
    Add a second rule for each device that will state....
    Everyday.....Between.......12:00 AM and 2:00 AM
    You might think that there would be a one minute break between 11:59 PM and 12:00 AM, but that will not be the case, at least on every AirPort that I have ever programmed..  Reason.....11:59 is really 11:59:59:59 turning off at 12:00 AM.  But, you have a second rule to allow access at 12:00 AM, so the AirPort will be "on" at the same time the first rule ends, so there will be no break.
    If you really want the second rule to turn the AirPort "off" at 2:00 AM.......then set that time for 1:59 AM. If you set the rule for 2:00 AM, then AirPort will really turn off at 2:01 AM.

  • Search Incremental Crawl is not getting triggered at the scheduled time.

    Hello All,
    Since 1 week i am observing that the incremental crawl is not getting triggered on the scheduled day & time but the next schedule is moving to next day. Let me put down in a detail.
    Content Incremental crawl is scheduled to run daily at 5.00 PM CST. Last 3 days i checked at 5.30 PM CST and found that the incremental crawl is not triggered and the status is Idle. But i noticed that the Next incremental crawl date is moved to next day
    at the same time.
    Can some one help me out how to get out of this issue. Thank You!

    Hi,
    Did you check the crawl logs? Please post here any error message that you come across in Crawl logs.
    Also, does crawl operations are performed when you crawl manually?If yes, have a look at steps below:
    Possible Cause:
    Folder %WinDir%\Tasks is not added with SharePoint Local group WSS_WPG Where Indexer is Running
    Resulution:
    1. Login to the SharePoint Indexer machine with account which has Administrative previlages
    2. Open Command prompt and run the command attrib –s %windir%\tasks.
    %Windir% is the Default installation of Windows
    3. Open Windows Explorer and go to the %Windir%\Tasks folder And open the properties of the Tasks folder
    4. Click the Security tab and Check whether we have WSS_WPG, If it is not there Add the Group with Full Read and Write permissions
    5. Now Open IIS Manager and restrat IIS or at the command prompt Type IISRESET so that the Chages will take place.
    6. From the command prompt Run the command attrib +s %windir%\tasks so that the view goes back to default
    7. Do the above Steps on all the SharePoint servers in the Farm
    Ref: http://blogs.msdn.com/b/vrajas/archive/2012/02/08/moss-2007-schedule-crawls-are-not-starting-automatically.aspx
    Hope it helps!
    Thanks,
    Avni Bhatt
    If this helped you resolve your issue, please mark it Answered

  • RAC Dataguard Switchover timing taking more than expected time

    I have Dataguard setup in RAC environment and my dataguard is also configured and it is working fine.
    Our goal is to do the switchover using DGMGRL withing the 5 minutes. We have followed the proper setup and MAA tuning document and everything is working fine, Just the switchover timeing is 8 to 10 minutes. which varies depending on some parameters but not meeting our goal of less than 5 minutes.
    The only observation that we have seen is as follow
    After switchover to <db_name> comman in DGMGRL
    1) it will shutdown abort the 2nd instance
    2) transfter all the archivelog ( using LGWR in ASYNC mode) of instance 1
    3) Now it looks for the archive log of 2nd instance, this steps take time upto 4 minutes
    we do not know why it takes that much time and how to tune this??
    4) Now converts primary to standby
    5) Now starts the old standby as new primary
    here All steps are tunined except the step 3, that where our lot of time is going any Idea or explanation
    why it takes such a long time to find the exact archive log 2nd instance (Aborted) to transfer to standby site?
    Can any one give explanation or solution to tune this???
    Regards
    Bhushan

    Hi Robert,
    I am on 10.2.0.4 and we have used "MAA_WP_10gR2_DataGuardNetworkBestPractices.pdf", which is available on oracle site.
    Here are by configuration details
    GMGRL> connect sys@dv01aix
    Password:
    Connected.
    DGMGRL> show configuration;
    Configuration
    Name: dv00aix_dg
    Enabled: YES
    Protection Mode: MaxPerformance
    Fast-Start Failover: DISABLED
    Databases:
    dv00aix - Physical standby database
    dv01aix - Primary database
    Current status for "dv00aix_dg":
    SUCCESS
    DGMGRL> show database verbose dv00aix
    Database
    Name: dv00aix
    Role: PHYSICAL STANDBY
    Enabled: YES
    Intended State: ONLINE
    Instance(s):
    dv00aix1 (apply instance)
    dv00aix2
    Properties:
    InitialConnectIdentifier = 'dv00aix'
    ObserverConnectIdentifier = ''
    LogXptMode = 'ASYNC'
    Dependency = ''
    DelayMins = '0'
    Binding = 'OPTIONAL'
    MaxFailure = '0'
    MaxConnections = '4'
    ReopenSecs = '300'
    NetTimeout = '60'
    LogShipping = 'ON'
    PreferredApplyInstance = 'dv00aix1'
    ApplyInstanceTimeout = '0'
    ApplyParallel = 'AUTO'
    StandbyFileManagement = 'AUTO'
    ArchiveLagTarget = '900'
    LogArchiveMaxProcesses = '5'
    LogArchiveMinSucceedDest = '1'
    DbFileNameConvert = ''
    LogFileNameConvert = '+SPARE1/dv01aix/,+SPARE/dv00aix/'
    FastStartFailoverTarget = ''
    StatusReport = '(monitor)'
    InconsistentProperties = '(monitor)'
    InconsistentLogXptProps = '(monitor)'
    SendQEntries = '(monitor)'
    LogXptStatus = '(monitor)'
    RecvQEntries = '(monitor)'
    HostName(*)
    SidName(*)
    LocalListenerAddress(*)
    StandbyArchiveLocation(*)
    AlternateLocation(*)
    LogArchiveTrace(*)
    LogArchiveFormat(*)
    LatestLog(*)
    TopWaitEvents(*)
    (*) - Please check specific instance for the property value
    Current status for "dv00aix":
    SUCCESS
    DGMGRL> show database verbose dv01aix
    Database
    Name: dv01aix
    Role: PRIMARY
    Enabled: YES
    Intended State: ONLINE
    Instance(s):
    dv01aix1
    dv01aix2
    Properties:
    InitialConnectIdentifier = 'dv01aix'
    ObserverConnectIdentifier = ''
    LogXptMode = 'ASYNC'
    Dependency = ''
    DelayMins = '0'
    Binding = 'OPTIONAL'
    MaxFailure = '0'
    MaxConnections = '4'
    ReopenSecs = '300'
    NetTimeout = '60'
    LogShipping = 'ON'
    PreferredApplyInstance = 'dv01aix1'
    ApplyInstanceTimeout = '0'
    ApplyParallel = 'AUTO'
    StandbyFileManagement = 'AUTO'
    ArchiveLagTarget = '0'
    LogArchiveMaxProcesses = '2'
    LogArchiveMinSucceedDest = '1'
    DbFileNameConvert = '+SPARE/dv00aix/, +SPARE1/dv01aix/'
    LogFileNameConvert = '+SPARE/dv00aix/,+SPARE1/dv01aix/'
    FastStartFailoverTarget = ''
    StatusReport = '(monitor)'
    InconsistentProperties = '(monitor)'
    InconsistentLogXptProps = '(monitor)'
    SendQEntries = '(monitor)'
    LogXptStatus = '(monitor)'
    RecvQEntries = '(monitor)'
    HostName(*)
    SidName(*)
    LocalListenerAddress(*)
    StandbyArchiveLocation(*)
    AlternateLocation(*)
    LogArchiveTrace(*)
    LogArchiveFormat(*)
    LatestLog(*)
    TopWaitEvents(*)
    (*) - Please check specific instance for the property value
    Current status for "dv01aix":
    SUCCESS
    DGMGRL>
    log_archive_dest_2 string service="(DESCRIPTION=(ADDRESS
    _LIST=(ADDRESS=(PROTOCOL=TCP)(
    HOST=*****-vip0)(PORT=1527))
    )(CONNECT_DATA=(SERVICE_NAME=d
    v00aix_XPT)(INSTANCE_NAME=dv00
    aix1)(SERVER=dedicated)))",
    LGWR ASYNC NOAFFIRM delay=0 O
    PTIONAL max_failure=0 max_conn
    ections=4 reopen=300 db_uniq
    ue_name="dv00aix" register net
    NAME TYPE VALUE
    timeout=60  validfor=(online
    logfile,primaryrole)
    NAME TYPE VALUE
    fal_client string (DESCRIPTION=(ADDRESS_LIST=(AD
    DRESS=(PROTOCOL=TCP)(HOST=*****
    -vip0)(PORT=1527)))(CONNECT
    DATA=(SERVICENAME=dv01aix_XP
    T)(INSTANCE_NAME=dv01aix1)(SER
    VER=dedicated)))
    fal_server string (DESCRIPTION=(ADDRESS_LIST=(AD
    DRESS=(PROTOCOL=TCP)(HOST=*****
    -vip0)(PORT=1527))(ADDRESS=
    (PROTOCOL=TCP)(HOST=*****-vi
    p0)(PORT=1527)))(CONNECT_DATA=
    (SERVICE_NAME=dv00aix_XPT)(SER
    VER=dedicated)))
    db_recovery_file_dest string +SPARE1
    db_recovery_file_dest_size big integer 100G
    recovery_parallelism integer 0
    fast_start_parallel_rollback string LOW
    parallel_adaptive_multi_user boolean TRUE
    parallel_automatic_tuning boolean FALSE
    parallel_execution_message_size integer 2152
    parallel_instance_group string
    parallel_max_servers integer 8
    parallel_min_percent integer 0
    parallel_min_servers integer 0
    parallel_server boolean TRUE
    parallel_server_instances integer 2
    parallel_threads_per_cpu integer 2
    recovery_parallelism integer 0

  • Triggered aquisition for determined time

    I am using a PCI-6371 to trigger a continuous AI aquisition on 8 channels of a NI-4472 at 15 ks/s, while displaying and writing the data to a file. I am currently using the get date/time in seconds function to determine when to exit the loop, but the time is not accurate enough. I need to aquire data for a pre-determined amount of time with a high degree of accuracy. Is there a way to set up a timed AI aquisition as opposed to continuous in a loop, while maintaining the display and file writing aspects?

    Dave,
    I don't have a particular example here, but looking at the shipping example you mentioned, here's a couple quick things to try:
    1. Increase your buffer size from the 2500 scan default. Give yourself a few seconds worth of buffer, say 4 seconds worth for a size of 60000.
    2. Increase the # of scans to read (& display & file) at a time. The minimum I'd bother trying is 500, which would correspond to updating your chart 30 times a second. You may find you need to increase it from there however.
    Operating on larger chunks of data less frequently lowers the fraction of overhead cost such as formatting to spreadsheet string, updating chart.
    3. Consider writing to a binary file so you don't have to convert all that data
    into a string format before writing to file.
    Hope these ideas are enough to get a trial version running for you.
    -Kevin P.

  • How to setup a hardware timed triggered acquisition ?

    hi,
    I want to do a hardware-timed Simultaneous AI-AO based on an external trigger.I am using a PCI-6052E card. I have tried software timed setup but it misses trigger pulses.I am using a PCI6052E card.
    thanks,
    Shiv
    Attachments:
    DAQ_DOCUMENT.doc ‏23 KB
    basic_loop3.vi ‏169 KB
    The_Data_Generator.vi ‏30 KB

    Hi Shiv,
    Take a look at
    Search Examples >>> Hardware input and output >>>Simultaneous analog I/o >> Simul AIAo BefTrg(E-series).vi
    This should cover most of what you need.
    Ben
    Ben Rayner
    I am currently active on.. MainStream Preppers
    Rayner's Ridge is under construction

  • Pulse Time

    I'm trying to measure the pulse coming out of my flow meter and I contacted the manufacturer for some help. They provided me with the attached example that counts the pulse. I know how to calculate the volume given the pulses, what I'm having trouble with is figuring out how to adjust the time on the code, This is the formula that I'm trying to use for the flow rate Q= Volume / Time (t2-t1)...but I want to set it such that I could adjust it if I needed to. I'm also attaching the VI manual that essentially explains what each VI does just incase..
    Attachments:
    1208LS_XPMDCTR.VI ‏49 KB
    SM-UL-LabVIEW.pdf ‏1038 KB

    Hello,
    in attachement an example of code using state machine / passing state in shift register
    use of a cluster also passed in shift register that contain  local variables
    you can also look a state machine example in tutos
    http://zone.ni.com/devzone/cda/tut/p/id/3024
    Hoping i undertood your question if not
    your welcome for more details you can also give more precision on about what you want to do
    Regards
    Tinnitus
    CLAD / Labview 2011, Win Xp
    Mission d'une semaine- à plusieurs mois laissez moi un MP...
    RP et Midi-pyrénées .Km+++ si possibilité de télétravail
    Kudos always accepted / Les petits clicks jaunes sont toujours appréciés
    Don't forget to valid a good answer / pensez à valider une réponse correcte
    Attachments:
    flow meter.vi ‏37 KB

  • PRs workflow triggered only the first time

    Hi all,
    do you know a way to trigger a workflow for Purchase Reqs only when the first agent (requisitioner) releases it and not at every step? I'm managing all the release strategy inside a custom workflow and the cancel release as well.
    I'm using BUS2105.eventstepcreated as start event but it is triggered in each step (as the name suggests ) and also for a cancel release.
    Thanks in advance.
    Angelo

    Hi Angelo.
    Sorry if I have different perspective.
    You can enhance the standard workflow for PR (single release and collective release). This workflow triggers for each PR created.
    And instead of you manage release strategy inside your workflow, you can maintain release strategy (release group and release code) inside the MM configuration by assign to specific object type. This approach will capture agent assignment from the configuration and calculate the release strategy (include the classification) as well

  • Milestones with different statuses triggering at the same time

    Hi Experts,
    I have created a Project with 1 WBS Element and 1 Network.
    Under Network i have created 1 activity and within that activity there are 2 Milestones.
    1) First Milestone has status 'REL' and a Workflow assigned to it
    2) Second Milestone had a status 'CNF' and a diff Workflow assigned to it.
    i have used BO MLST_NETW as start conditions in both thw workflows.
    I want the 1st milestone to trigger when the Network is release and the second when an activity is confirmed.
    My problem is when i change the status to Release both the milestones/workflows are getting triggered.
    Please let me know where i am going wrong .
    Thank You,
    Radhika.

    Problem solved by using conditions .

  • Duplicate Outbound IDocs getting Triggered at the same time.

    Hi Folks,
    I have created custom outbound idoc and done all the configurations required like WE20, WE30, WE31, WE81, WE82, WE 41, WE57 etc.
    Also I have written my code to populate segments and then call MASTER_IDOC_DISTRIBUTE in the custom function module which is assigned to the process code and also done the configurations like we57 etc. for the same.
    Now when I trigger my output type from VL74 transaction, I noticed two entries in we02 resulting in two IDocs generated for 1 HU.
    The difference in two IDocs is the first IDoc getting generated in error with status 29. While the second one as success with status 03.
    The data records containing segments have same values for both the IDocs number.
    Whether the configuration is a issue here or problem in code of custom fm?
    Please help.

    Hi Anil,
    Appreciate your quick response.
    In my custom FM I have populated an internal table it_master_idoc_data with two records of two segments, containing segment name in SEGNAM filed and segment data in SDATA field. 
    Please let me know whether the data in this internal table of structure EDIDD sufficient for passing to MASTER_IDOC_DISTRIBUTE FM? Or do I need to populate any other field of EDIDD.
    Also I am exporting a structure master_idoc_control containing values in this 5 fields.
    MESTYP = Z message type, Z IDOCTP, partner and details in  RCVPOR, RCVPRN, RCVPRT fields.
    I am not populating the internal table communication_idoc_control while calling FM MASTER_IDOC_DISTRIBUTE from my custom function module.
    After executing MASTER_IDOC_DISTRIBUTE FM, when the control comes back to my custom FM there is one record in communication_idoc_control  internal table with IDoc number in DOCNUM field with status 29(error). Now when we check in WE02 there are two IDocs generated after this transaction's execution.
    The first one in error which FM MASTER_IDOC_DISTRIBUTE returns and second one in success with status 03.
    Please help....
    Thanks,
    Pravesh

  • Finite pulse-trai​n generation with variable time lapses with NiDAQmx

    Being a newby in Labview and NiDAQmx, I find it tedious to program the application I need to drive my experiment : I want to generate a finite pulse train, with variable delays between two consecutive pulses. These delays are known before the experiment starts, summurized in a table (element 0 decribes the time lapse between pulse 0 and 1, element 1 between pulse 1 and 2 ...). I would like to use NiDAQmx to program this. I had in mind the following scheme : two counters, first one counting time between pulses, the second one generating the pulse once "counter one" has finished his job. What I don't figure out is how to reprogram "counter one"'s register, without introducing unwanted delays in the time
    sequence of my finite pulse train. I am using a 6052E DAQ, and I want to generate delays in a same pulse train ranging from 1 microsecond up to 20 seconds.
    Thank you for ANY help :-)

    Hello !
    Well, I will try to be more precise while answering your questions. But first let me thank you very much for your help : your comments, questions and remarks are very helpful to help me shape my project, as you shed light on particular problems I strictly had no idea before !
    1) I can certainly get on well with digital output as my flash device is triggered by TTL signals.
    2) The lighting device is home-made, and I think it will be better for my needs to produce light throughout the duration of the input at the “on” level. In any case it will be driven by TTL-like signals. The intensity is manually set, so no analog control is required.
    3) I will use Labview to collect the experimental data. The signal will be sampled during the light pulse : the rising edge of the TTL-like output signal will trigger both the lighting device and the data acquisition, the latter being stopped on the falling edge. The light emitted by the lighting device is called a “probe” for the following reasons : the photons are absorbed by the photosynthetic sample and “immediately” re-emitted at a longer wavelength. A photodiode acts as a signal transducer, and I monitor the intensity of this fluorescence. Knowing precisely when light pulses occurred after the initial start excitation (which is also a brief pulse of light but of a much greater intensity than the “probe” light) and the intensity of light emitted during theses pulses, I can reconstruct the kinetic of fluorescence decay. This kinetic reflects the behaviour of my biological sample. Thus no continuous acquisition is required as between two light pulses there is nothing to monitor. And all the half-time reaction are timed relative to the same “start” excitation as they reflect a jump sequence of an electron extracted by the “start” excitation : first jumps are quick ones (half-time in the 10 �s range) and last jumps are slow ones (half-time in the 1 s range). With respect to these kinetics, I would like a 1 �s precision. If not achievable, I could skip the quickest jump (but it would be a pity) and focus on the second one which has a 10 ms half-time reaction and a 100 �s precision would then be enough. But as we say in French : “Qui peut le plus, peut le moins !” (roughly meaning that when you can achieve the best, you easily can do the least). This precision relates to the position of one flash with respect to the others. I don’t need to be very precise on the duration of each individual light pulse : a typical value is 100 �s duration, but I can get on well with 90 �s or 110 �s, my data being an average of the light intensity emitted during the light pulse). All I want to know precisely is when it is fired.
    4) Concerning the hardware, I already have a NI 6052E DAQ board, and unfortunately my laboratory cannot allow me to spend more money on this. I also have a fast acquisition board (IMTEC T3012) I intended to use to acquire my photodiode signal in place of the 6052E A/D converter. It has an old Labview driver, and I managed to upgrade it to suit my needs. It has an onboard segmented memory and can keep track precisely (it has a 60 MHz sample clock) of a trigger event. I am wondering if I can overcome the timing precision issue with this board, knowing that I strictly don’t care that flash n�1 is triggered at (t0 + 10 �s) or (t0 + 11 �s), provided that I know it, allowing me to place precisely my data point on the time scale. I can program the number of memory segments (i.e. the number of light pulses) and each one is filled with data coming from the photodiode upon receiving the rising edge triggering the light pulse. The precise date at which each triggered is received is stored in the board memory, allowing time reconstruction.
    I do hope this is much clearer than my previous attempts ! Believe me, it is quite hard to leave biology for a while and enter the cryptic world of data acquisition !
    Gritche

  • Timed Trigger timer resets when text is eneterd in TEXTEDIT

    Forum,
    I have a java WD applcation, where the requirement is to Auto Save the contents of a view with several Text Edits automatically after 10 minutes, so that the data gets saved while the user is writing (and it is saved if he forgets to save the content and logs out or power goes off).
    For the same, i have used a Timed Trigger Element which calles a method to save the contents in the textedits. But the problem is, it is not behaving as espected.
    The Timed Trigger element's timer resets even when text is entered in the textedit, though i was under an impresseion that the Timed Triggers timer resets only when there is a request from clent to sever.
    pls tell me how to rectify this issue.
    Edited by: rana brata de on Sep 9, 2010 5:27 AM

    Hi,
    Ensure that you do not have any events which are triggered by changes in the TextEdit control.
    Walter

Maybe you are looking for

  • How do I print a list of movies with artwork by title

    I can't believe that after all the years iTunes has been out that they have not fixed this glitch.  I want to print a list of my movies, with artwork. The problem is, although iTunes shows that my movies are sorted by Title, the print insists on sort

  • MRP Settings

    Hi Guru, Please can one of you say guide me how can i control the deletion and recreation of the Purchase Requisitions during the MRP Run. I know its standard in SAP , but not sure where to control this setting. 1. How Generally the Firming of the Re

  • Selecting a paragraph style

    Hi All, I am working on InDesign CS3, I am looking for an API/Command using which I could select a paragraph style. I am elaborating my problem as follows In paragraph style palette we have a default paragraph style called "Basic paragraph" I have cr

  • IOS questions

    It seems each time I do an IOS upgrade on a router or switch I'm trying to repurpose, I forgot all I learned the last time. I've read a lot today about the differences and the eight IOS "packages." The choices I have are: Advanced Enterprise Services

  • Idea: Time Machine errors could be used for telling people everything is OK

    In the event of catastrophic good luck, abort. Just a little levity for all those struggling with Time Machine. Also, for those having "not enough space" errors on the initial backup, I found that if I excluded the two largest directories and let Tim