Compressed VideoFormat for sending Desktop Images

Hi All,
In JMF 2.1.1 solutions, the ScreenGrabber captures the desktop images and uses RGBFormat. Which other VideoFormats can we use so that we can send the compressed data using RTP but still allow higher resolutions. JPEGFormat suports higher resolutions ?

Source format doesn't matter. JMF will transcode whatever the source format is into whatever the RTP format is for you automaticlly. Max resolution you're going to get is the minimum of the two.
[http://java.sun.com/javase/technologies/desktop/media/jmf/2.1.1/formats.html]
In windows, that leaves you with the options of JPEG and H.263, but H.263 at maximum supports CIF (352x288), so you're going to want to use JPEG_RTP as your video format.

Similar Messages

  • How to stop Mail from searching for sender's image?

    When I'm going through messages in Mail, it won't display the message in the preview pane for several seconds until it completes the "Searching for sender's image" process. I have a large address book with several thousand entries. Is there a way to prevent Mail from searching for the contact's image in the Address Book? This wasn't an issue in 10.4.11.
    Here's a screenshot:
    http://img55.imageshack.us/img55/6007/001rz6.jpg

    The iPhone data plans are unlimited, so you shouldnt be concerned about cost. That being said, it is ANNOYING to have all accounts (I have six) check email just because I launch the mail app. And if I follow a URL in an email to Safari, then come back to Mail, it checks AGAIN.
    Nope, there is not way to stop if from doing that short of turning the account OFF under Mail Settings, which is even MORE inconvenient. I went to http://www.apple.com/feedback/iphone.html and left them a message. Perhaps you should do the same.

  • Mail Hangs Up at  "Getting Messages" and "Searching for Sender's Image"

    When I open mail and view a message, the Activity Viewer window continues to show the "Getting message '<name of message>'" activity next to a frozen striped bar. If I click on another message to view it, I get another activity line in the window "Getting message <etc.>," but this time with a moving striped bar. Below that there's a "Searching for sender's image" activity line and moving striped bar. This is accompanied by a spinning cog next to "loading message" in the Mail viewer window. At this point Mail is stuck. This is a brand new problem as of this morning. I've used mail successfully for quite a while now. Does this sound like an issue with the program, with my mail provider, with a particular email, or something else? Also, I can access email as normal through my ISP's webmail portal.

    The console.log displays a list of errors such as the following:
    Aug 22 09:07:09 mdimportserver[240]: -[FileProcessor importMetadataFromFileAtPath:] Got exception * -[NSCFString objectAtIndex:]: selector not recognized [self = 0x38c8d0] for path '/Users/Will/Library/Mail/POP-{my email address}/INBOX.mbox/Messages/90942.emlx'
    It seems to be processing each message in my inbox in order starting from about 3pm yesterday. I assume that it was doing the same when I first posted yesterday morning.
    I have run disk utility and find nothing wrong with my system or hard drive.
    Thanks.

  • Compressing video for website background images

    I'm building a website and hoping to have a web video play underneath a screen on the home page. The sample 11 second file on our template is an mp4 file at 1280 by 720, but it's onlye 340KB. Using media encoder I was only able to compress our 15 second clip in an mp4 format to a whopping 18 megabytes. Clearly I'm setting something improperly. I encoded using the Vimeo setting. How can I use media encoder to create such a small video file? Thanks.

    Found one way to reduce the 18 mb file to 3 mb. I imported the MP4 into Photoshop then exported to Adobe Media Encoder at "low resolution." I couldn't find comparable settings in Adobe Media Encoder through the direct interface, so this means it must be possible to compress farther with this tool. Any additional ideas/suggestions? Thanks.

  • How and where do you get more desktop images for osx 10.8

    I am looking for  more desktop images to go with my mac mini running osx mountaion lion the lastest version 10.8

    You can get pictures on line at sites like this one:
    http://www.desktopnexus.com
    This is just one site, there are dozens of them you can find by a google search. And I am sure you are aware of copyright restrictions.
    Or you can insert your own photos, or scans.
    After you download or otherwise get pictures, move the pics into the appropriate folder on your Mini. If you need help with that just post back.
    One other thing, the latest OS is 10.8.3.

  • Please help my desktop images, wallpapers gone.

    Please community i need your help. I restored my computer from Lion to lion, before i had Leopard and i had all my favority desktop wallpapers, for example aurora , earth horizon , earth etc..      When i installed for the first time Lion , i got even more desktop images  for ex. Aurora at grey or blue colors those i loved so much . I am so new to mac's ok i did not even know where my folders were, i even wanted to get the same background images for me phone too ^^. But when i did a restore from Lion to Lion means that i erased(and i forgot to backup or search for the desktop images on my mac it was to late ) my disk and got the install all over again , all my desktop wallpapers were gone. Now please if possible for some1 to sent me if he got upgraded from Leopard to Lion and did not erased or kept a backup of desktop images, to simple sent me the folder with all the descibed images i mention, i would be very happy again thank you.

    have had the same problem. also not a computer buff - mine had not been in for work when it would go to sleep after i had shut if down. happened a few times then i lost photos, lots of them. took it to local APPLE repairs and they found nothing, 21 days later.
    last night it did it again - powered off at home and sleeping when i got to the office.
    any advice?

  • Sending an Image file via UART

    Hi All,
    1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
    2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
    Meganadhan
     

    thanks ignacio
    i will try it letter
    but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
     and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
    RANDOM BIT
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC);
    end random;
    architecture Behavioral of random is
    signal counter: integer:=0;
    begin
    process (clk)
    begin
    if rising_edge(clk) then
    counter <= counter+1;
    if counter= (g_CLKS_PER_BIT-1) then
    output <= '1';
    elsif counter=55 then
    output <= '0';
    elsif counter=65 then
    output <= '0';
    elsif counter=75 then
    output <= '0';
    elsif counter=85 then
    output <= '1';
    elsif counter=95 then
    output <= '0';
    elsif counter=105 then
    output <= '0';
    elsif counter=115 then
    output <= '0';
    --elsif counter =1 then
    counter <= 0;
    end if;
    end if;
    end process;
    end Behavioral;
    ----------------------------------------------------------------------UART RX
    -- File Downloaded from http://www.nandland.com
    -- This file contains the UART Receiver. This receiver is able to
    -- receive 8 bits of serial data, one start bit, one stop bit,
    -- and no parity bit. When receive is complete o_rx_dv will be
    -- driven high for one clock cycle.
    -- Set Generic g_CLKS_PER_BIT as follows:
    -- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
    -- Example: 10 MHz Clock, 115200 baud UART
    -- (10000000)/(115200) = 87
    library ieee;
    use ieee.std_logic_1164.ALL;
    use ieee.numeric_std.all;
    entity receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end receive;
    architecture rtl of receive is
    type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
    s_RX_STOP_BIT, s_CLEANUP);
    signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
    signal r_RX_DATA_R : std_logic := '0';
    signal r_RX_DATA &colon; std_logic := '0';
    signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
    signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
    signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
    signal r_RX_DV : std_logic := '0';
    begin
    -- Purpose: Double-register the incoming data.
    -- This allows it to be used in the UART RX Clock Domain.
    -- (It removes problems caused by metastabiliy)
    p_SAMPLE : process (i_clk)
    begin
    if rising_edge(i_clk) then
    r_RX_DATA_R <= i_rx_serial;
    r_RX_DATA <= r_RX_DATA_R;
    end if;
    end process p_SAMPLE;
    -- Purpose: Control RX state machine
    p_UART_RX : process (i_clk)
    begin
    if rising_edge(i_clk) then
    case r_SM_MAIN is
    when s_IDLE =>
    r_RX_DV <= '0';
    r_CLK_COUNT <= 0;
    r_BIT_INDEX <= 0;
    if r_RX_DATA = '0' then -- Start bit detected
    r_SM_MAIN <= s_RX_START_BIT;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    -- Check middle of start bit to make sure it's still low
    when s_RX_START_BIT =>
    if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
    if r_RX_DATA = '0' then
    r_CLK_COUNT <= 0; -- reset counter since we found the middle
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    else
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_START_BIT;
    end if;
    -- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
    when s_RX_DATA_BITS =>
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_CLK_COUNT <= 0;
    r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
    -- Check if we have sent out all bits
    if r_BIT_INDEX < 7 then
    r_BIT_INDEX <= r_BIT_INDEX + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_BIT_INDEX <= 0;
    r_SM_MAIN <= s_RX_STOP_BIT;
    end if;
    end if;
    -- Receive Stop bit. Stop bit = 1
    when s_RX_STOP_BIT =>
    -- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_STOP_BIT;
    else
    r_RX_DV <= '1';
    r_CLK_COUNT <= 0;
    r_SM_MAIN <= s_CLEANUP;
    end if;
    -- Stay here 1 clock
    when s_CLEANUP =>
    r_SM_MAIN <= s_IDLE;
    r_RX_DV <= '0';
    when others =>
    r_SM_MAIN <= s_IDLE;
    end case;
    end if;
    end process p_UART_RX;
    o_rx_dv <= r_RX_DV;
    o_rx_byte <= r_RX_BYTE;
    end rtl;
    TOP
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity top is
    port(cl_k: in std_logic
    end top;
    architecture Behavioral of top is
    --component random
    component random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC
    end component;
    --component uart rx
    component receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end component;
    --signal
    signal mlebu:std_logic;
    signal enabl:std_logic;
    signal metu :std_logic_vector (7 downto 0);
    begin
    a:random port map( output=>mlebu,
    clk=>cl_k
    b:receive port map( i_clk=>cl_k,
    i_rx_serial=>mlebu,
    o_rx_dv=>enabl,
    o_rx_byte=>metu
    end Behavioral;
    regards
    halim

  • Compressing pdf's and keep image quality for email send outs

    Hello
    I have a 55MB Pdf file which I would like to compress to be compatible with email send outs. I work a lot with imagery and need my visuals to be crisp and sharp. Anyone clued up on what settings to use to keep the best possible image quality? Would appreciate some support on this. I work with Mountain Lion and Apple's own compression filter in Preview is really poor. I have tried to download compression filters but nothing seams to work with the system I am running….
    Thanks, Anna

    Hi Anna
    If you are trying to compress a document that contains images, you would have to compromise on the quality of the images. You may visit How to compress a PDF file | Acrobat X Tips & Tricks | Adobe TV
    Adobe also has a direct solution to send large size files in the quick way without losing the quality. Please visit How to send large PDF files via email | Acrobat X Tips & Tricks | Adobe TV

  • Remote Desktop Service Manager - configure permissions for Remote Desktop Users to Send Message, Disconnect, Logoff

    Hello, dear colleagues.
    We are using Windows Server 2012 R2 as Remote Desktop Server. Also use Windows Server 2008 R2 with Remote Desktop Service Manager to control RDS user sessions (Send Message, Disconnect, Logoff, Query Info). 
    Send Message, Disconnect, Logoff options works only for users in Administrators group.
    I can't to configure permissions for Remote Desktop Users, specific user or AD group. 
    To set permissions I'm running RDS Host Configuration on Windows Server 2008 R2 and connect to Windows Server 2012 R2. Then double-click
    RDP-Tcp, Security tab, add specific user account , AD group or configure
    advanced permissions
    for Remote Desktop Users.  
    But, as I sad above, these options works only for users in Administrators group. How to make it work for Remote Desktop Users or specific user, AD group?
    Thanks.
    P.S. If move specific user from Remote Desktop Users group to Administrators group on
    Windows Server 2012 R2 - it works. 

    Hi,
    You can prevent administrators from changing the permissions for a connection by applying the
    Do not allow local administrators to customize permissions Group Policy setting. 
    This Group Policy setting is located in Computer Configuration\Policies\Administrative Templates\Windows Components\Remote Desktop Services\Remote Desktop Session Host\Security
    Apart there is one command with which you can set the permission for that check the related
    article. Additionally checkthis
    thread for more detail.
    Hope it helps!
    Thanks.
    Dharmesh Solanki
    Please remember to mark the replies as answers if they help and unmark them if they provide no help. If you have feedback for TechNet Support, contact [email protected]

  • Set the compression quality for individual images for exporting a PDF?

    When are export a PDF in ID, you are given an option to downsample and compress images. My problem is, I want to compress some images more than others. For example, a photo in the document could be downsampled much more than a png logo. Of course I could go and pre-downsample each image to the right size in photoshop, but that can get kind of involved. Is there a way I could at least exclude one particular image from the compression process?

    Well, I didn't find any way to do this in ID, but I do believe its a useful thing to do. In Fact, by doing some careful image-dependent compression, I was able to reduce the size of my pdf by half and have the result still look better than a standard uniform compression. What I did was:
    first place all the images in the ID file, size and position them as they should be
    then, looking at the rulers, note the horizontal size, in inches, of each image in the document
    begin with the first image, open it in photoshop. If you want this image at 200dpi, for example, multiply the horizontal size (from ID) by 200. Change the image size in photoshop to this number.
    save the image in photoshop as a jpg and compress as appropriate for the image type
    go back to ID, open up the links window, select the image you just changed, and click the update link button
    do this with all your images
    when you go to export a pdf, don't do any compression or downsampling on the images.. they're already optimised
    So as you can see you have to have some idea of the ideal target DPI and compression of each image in your document, and it may require some experimenting. But if you know that some images can be lowered much more than others, I recommend this method. As I said, in my case I was able to reduce the file size by half without negatively effecting the pdf appearance.

  • I have a 13" macbook from 2009 and for some reason can no longer change my desktop image by just clicking on an image in system prererences I-Photo. Does anyone know what the problem could be?

    I have a 13" macbook from 2009 and for some reason can no longer change my desktop image by just clicking on an image in system prererences>I-Photo. Does anyone know what the problem could be?

    Your Desktop Picture settings and Dock items are stored in the files
    /Users/your short user name/Library/Preferences/com.apple.desktop.plist and
    /Users/your short user name/Library/Preferences/com.apple.dock.plist
    in the "Preferences" folder in your user library. This looks like the system has trouble to write to these files. Check the permissions on your user library and remove the above files from your user library, then log off and on again.
    Your user library is hidden in Lion and Mt. Lion. To reveal it, use the Finder's "Go" menu. Select "Go" in the Finder's main menu bar and hold down the alt/option key, until you see the "Library"  in the drop-down menu. Double click it to open a Finder window, so you can browse the files inside.
    Regards
    Léonie

  • How can I set the desktop image for client computers?

    How can I set the desktop image for client computers using ARD or terminal?

    How can I set the desktop image for client computers using ARD or terminal?

  • I'm involved in fashion and would like to know which Adobe program would be suitable for importing images and my company logo to design fabric and send as image to fabric printers? Thanks

    I'm involved in fashion and would like to know which Adobe program would be suitable for importing images and my company logo to design fabric and send as image to fabric printers? Thanks

    Adobe Illustrator.  Your company logo should be a vector file.  Image files can be "Placed" in Illustrator.  Artwork created for printing can be drawn in Illustrator and saved as .ai, .eps, and .pdf files which can be imported into your printer's RIP.  But, check with your print vendors and see what they require.  This does not rule-out Photoshop, however Photoshop can be limited.  So, it would be a good idea to have Photoshop and Illustrator loaded in your workstation with Illustrator being the go-to application.

  • Does Mac OS X v10.8.5's Preview have a compression option for JP(E)G images?

    Hello.
    Does Mac OS X v10.8.5's Preview have a compression option for JP(E)G images? I did not see an option for it.
    Thank you in advance.

    Always make and work with a copy of your original image.
    .jpg is a 'lossy' compression, so once saved to a smaller file size, the detail cannot be regained by attempting to re-save the smaller image back to a larger file size.
    In OS X 10.8.5, using Finder, I used File Export (but you can also use Save As, revealed with Command+Duplicate in the Finder menu)
    The original digital image was 6.7MB, created with a digital camera at 4000 pixels wide x 3000 pixels height.
    Using the slider as shown above to change the Quality setting in increments of 2 'bars' here are the file size results.
    Example image saved at '2' quality (smallest file size): {click on the images to enlarge on your screen}
    A very 'busy' scene, saved at the smallest file size, might be OK for casual web use, such as social media posts.
    Viewed at magnification, the artifacts, loss of detail, and digital 'noise' become apparent.

  • I'm just trying to update from 3.5.8 to the latest version. Started on the website and it downloaded a desktop image only, no application. Then tried on Firefox to update under "Help". It's been spinning for an hour! Help. I just want the latest version

    I'm just trying to update from 3.5.8 to the latest version. Started on the website and it downloaded a desktop image only, no application. Then tried on Firefox to update under "Help". It's been spinning for an hour! Help. I just want the latest version

    If there are problems with updating or with the permissions then easiest is to download the full version and trash the currently installed version to do a clean install of the new version.
    Download a new copy of the Firefox program and save the disk image (dmg) file to the desktop
    *Firefox 9.0.x: http://www.mozilla.org/en-US/firefox/all.html
    *Trash the current Firefox application to do a clean (re-)install
    *Install the new version that you have downloaded
    Your profile data is stored elsewhere in the Firefox Profile Folder, so you won't lose your bookmarks and other personal data if you uninstall and (re)install Firefox.
    *http://kb.mozillazine.org/Profile_folder_-_Firefox

Maybe you are looking for