CRITICAL WARNING : axis_tstrb mot connected

Hallo ,
I did this  design in Vivado with a custom FIR Filter . when i click Validate design i receive this windows-message .
"CRITICAL WARNING: [BD 41-759] The input pins (listed below) are either not connected or do not have a source port, and they don't have a tie-off specified.
Please check your design and connect them if needed:
/fir5_0/s00_axis_tstrb"
i don't understand this message because i don t have this s00_axis_tstrb" port .
the Filter is with a master and a slave port .
And hier is the vhdl - Code of Filter :
-- Company:
-- Engineer:
-- Create Date: 01.07.2015 17:36:00
-- Design Name:
-- Module Name: FIR-filter - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
-- Dependencies:
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_signed.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity FIRfilter is
    Port ( clk : in STD_LOGIC;
           x : in  signed (13 downto 0);
           y : out signed (13 downto 0));
end FIRfilter;
architecture a of FIRfilter is
signal a,b,c :signed(13 downto 0);
signal k1:signed(2 downto 0):="110";
signal k2:signed(2 downto 0):="010";
signal k3:signed(2 downto 0):="011";
begin
process(x,clk)
variable d:signed(16 downto 0 );
begin
if (clk='1') and (clk'event)
then
c<=b;
b<=a;
a<=x;
d:=(k1*a + k2*b + k3*c);
y<=d;
end if ;
end process ;
end a;
thanks
houssem

I find that "s00_axis_tstrb" in only one line (S_AXIS_TSTRB    : in std_logic_vector((C_S_AXIS_TDATA_WIDTH/8)-1 downto 0);)
so if i don't need it , because it 's not  connected , can i delete it ?
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fir5_v1_0_S00_AXIS is
    generic (
        -- Users to add parameters here
        -- User parameters ends
        -- Do not modify the parameters beyond this line
        -- AXI4Stream sink: Data Width
        C_S_AXIS_TDATA_WIDTH    : integer    := 32
    port (
        -- Users to add ports here
        -- User ports ends
        -- Do not modify the ports beyond this line
        -- AXI4Stream sink: Clock
        S_AXIS_ACLK    : in std_logic;
        -- AXI4Stream sink: Reset
        S_AXIS_ARESETN    : in std_logic;
        -- Ready to accept data in
        S_AXIS_TREADY    : out std_logic;
        -- Data in
        S_AXIS_TDATA    : in std_logic_vector(C_S_AXIS_TDATA_WIDTH-1 downto 0);
        -- Byte qualifier
        S_AXIS_TSTRB    : in std_logic_vector((C_S_AXIS_TDATA_WIDTH/8)-1 downto 0);
        -- Indicates boundary of last packet
        S_AXIS_TLAST    : in std_logic;
        -- Data is in valid
        S_AXIS_TVALID    : in std_logic
end fir5_v1_0_S00_AXIS;
architecture arch_imp of fir5_v1_0_S00_AXIS is
    -- function called clogb2 that returns an integer which has the
    -- value of the ceiling of the log base 2.
    function clogb2 (bit_depth : integer) return integer is
    variable depth  : integer := bit_depth;
      begin
        if (depth = 0) then
          return(0);
        else
          for clogb2 in 1 to bit_depth loop  -- Works for up to 32 bit integers
            if(depth <= 1) then
              return(clogb2);      
            else
              depth := depth / 2;
            end if;
          end loop;
        end if;
    end;    
    -- Total number of input data.
    constant NUMBER_OF_INPUT_WORDS  : integer := 8;
    -- bit_num gives the minimum number of bits needed to address 'NUMBER_OF_INPUT_WORDS' size of FIFO.
    constant bit_num  : integer := clogb2(NUMBER_OF_INPUT_WORDS-1);
    -- Define the states of state machine
    -- The control state machine oversees the writing of input streaming data to the FIFO,
    -- and outputs the streaming data from the FIFO
    type state is ( IDLE,        -- This is the initial/idle state
                    WRITE_FIFO); -- In this state FIFO is written with the
                                 -- input stream data S_AXIS_TDATA
    signal axis_tready    : std_logic;
    -- State variable
    signal  mst_exec_state : state;  
    -- FIFO implementation signals
    signal  byte_index : integer;    
    -- FIFO write enable
    signal fifo_wren : std_logic;
    -- FIFO full flag
    signal fifo_full_flag : std_logic;
    -- FIFO write pointer
    signal write_pointer : integer range 0 to bit_num-1 ;
    -- sink has accepted all the streaming data and stored in FIFO
    signal writes_done : std_logic;
    type BYTE_FIFO_TYPE is array (0 to (NUMBER_OF_INPUT_WORDS-1)) of std_logic_vector(((C_S_AXIS_TDATA_WIDTH/4)-1)downto 0);
begin
    -- I/O Connections assignments
    S_AXIS_TREADY    <= axis_tready;
    -- Control state machine implementation
    process(S_AXIS_ACLK)
    begin
      if (rising_edge (S_AXIS_ACLK)) then
        if(S_AXIS_ARESETN = '0') then
          -- Synchronous reset (active low)
          mst_exec_state      <= IDLE;
        else
          case (mst_exec_state) is
            when IDLE     =>
              -- The sink starts accepting tdata when
              -- there tvalid is asserted to mark the
              -- presence of valid streaming data
              if (S_AXIS_TVALID = '1')then
                mst_exec_state <= WRITE_FIFO;
              else
                mst_exec_state <= IDLE;
              end if;
            when WRITE_FIFO =>
              -- When the sink has accepted all the streaming input data,
              -- the interface swiches functionality to a streaming master
              if (writes_done = '1') then
                mst_exec_state <= IDLE;
              else
                -- The sink accepts and stores tdata
                -- into FIFO
                mst_exec_state <= WRITE_FIFO;
              end if;
            when others    =>
              mst_exec_state <= IDLE;
          end case;
        end if;  
      end if;
    end process;
    -- AXI Streaming Sink
    -- The example design sink is always ready to accept the S_AXIS_TDATA  until
    -- the FIFO is not filled with NUMBER_OF_INPUT_WORDS number of input words.
    axis_tready <= '1' when ((mst_exec_state = WRITE_FIFO) and (write_pointer <= NUMBER_OF_INPUT_WORDS-1)) else '0';
    process(S_AXIS_ACLK)
    begin
      if (rising_edge (S_AXIS_ACLK)) then
        if(S_AXIS_ARESETN = '0') then
          write_pointer <= 0;
          writes_done <= '0';
        else
          if (write_pointer <= NUMBER_OF_INPUT_WORDS-1) then
            if (fifo_wren = '1') then
              -- write pointer is incremented after every write to the FIFO
              -- when FIFO write signal is enabled.
              write_pointer <= write_pointer + 1;
              writes_done <= '0';
            end if;
            if ((write_pointer = NUMBER_OF_INPUT_WORDS-1) or S_AXIS_TLAST = '1') then
              -- reads_done is asserted when NUMBER_OF_INPUT_WORDS numbers of streaming data
              -- has been written to the FIFO which is also marked by S_AXIS_TLAST(kept for optional usage).
              writes_done <= '1';
            end if;
          end  if;
        end if;
      end if;
    end process;
    -- FIFO write enable generation
    fifo_wren <= S_AXIS_TVALID and axis_tready;
    -- FIFO Implementation
     FIFO_GEN: for byte_index in 0 to (C_S_AXIS_TDATA_WIDTH/8-1) generate
     signal stream_data_fifo : BYTE_FIFO_TYPE;
     begin   
      -- Streaming input data is stored in FIFO
      process(S_AXIS_ACLK)
      begin
        if (rising_edge (S_AXIS_ACLK)) then
          if (fifo_wren = '1') then
            stream_data_fifo(write_pointer) <= S_AXIS_TDATA((byte_index*8+7) downto (byte_index*8));
          end if;  
        end  if;
      end process;
    end generate FIFO_GEN;
    -- Add user logic here
    -- User logic ends
end arch_imp;

Similar Messages

  • Critical warning with AXI Clock Converter IP

    Upon synthesis of my attached block diagram, I get the following critical warning :
    [Common 17-55] 'get_property' expects at least one object. ["i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc":20]
    the 'problem' line 20 in the automatically generated (read-only) xdc contains :
    set_max_delay -from [filter [all_fanout -from [get_ports m_axi_aclk] -flat -endpoints_only] {IS_LEAF}] -to [filter [all_fanout -from [get_ports s_axi_aclk] -flat -only_cells] {IS_SEQUENTIAL && (NAME !~ *dout_i_reg[*])}] -datapath_only [get_property -min PERIOD $m_clk]
    -> Q1 : no clue what's going on here - is it because I renamed my external port to ext_clk?
    I added the following constraint to my xdc file, but that didn't help. Looks like I need to tell that $m_clk matches the ext_clk?
    create_clock -period 62.000 -name ext_clk -waveform {0.000 31.000}
    -> Q2 : is my block diagram the correct way to use AXI4-lite on my custom IP with 2 async clock domains?
    fyi : the full contents of the (read-only) xdc file is :
    # Core-Level Timing Constraints for axi_clock_converter Component "design_1_axi_clock_converter_0_1"
    # This component is configured to perform asynchronous clock-domain-crossing.
    # In order for these core-level constraints to work properly,
    # the following rules apply to your system-level timing constraints:
    # 1. Each of the nets connected to the s_axi_aclk and m_axi_aclk ports of this component
    # must have exactly one clock defined on it, using either
    # a) a create_clock command on a top-level clock pin specified in your system XDC file, or
    # b) a create_generated_clock command, typically generated automatically by a core
    # producing a derived clock signal.
    # 2. The s_axi_aclk and m_axi_aclk ports of this component should not be connected to the
    # same clock source.
    set s_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
    set m_clk [get_clocks -of_objects [get_ports m_axi_aclk]]
    set_false_path -through [get_ports -filter {NAME =~ *_axi_aresetn}] -to [filter [get_cells -hierarchical -filter {NAME =~ */rstblk/*}] {IS_SEQUENTIAL}]
    set_max_delay -from [filter [all_fanout -from [get_ports s_axi_aclk] -flat -endpoints_only] {IS_LEAF}] -to [filter [all_fanout -from [get_ports m_axi_aclk] -flat -only_cells] {IS_SEQUENTIAL && (NAME !~ *dout_i_reg[*])}] -datapath_only [get_property -min PERIOD $s_clk]
    set_max_delay -from [filter [all_fanout -from [get_ports m_axi_aclk] -flat -endpoints_only] {IS_LEAF}] -to [filter [all_fanout -from [get_ports s_axi_aclk] -flat -only_cells] {IS_SEQUENTIAL && (NAME !~ *dout_i_reg[*])}] -datapath_only [get_property -min PERIOD $m_clk]
    set_disable_timing -from CLK -to O [filter [all_fanout -from [get_ports s_axi_aclk] -flat -endpoints_only -only_cells] {PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==LUTRAM}]
    set_disable_timing -from CLK -to O [filter [all_fanout -from [get_ports m_axi_aclk] -flat -endpoints_only -only_cells] {PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==LUTRAM}]

     thank you for the very quick reply - in the mean time also tried with using 'ext_clk_1' as that seems to be the net name, but no success. 
    here is the log of the synthesis parsing the xdc files - I understand it's not finding the right clock constaint for the m_axi_aclk input of the axi clock converter. I connected my external clock (16MHz) to this input (ext_clk), but I don't know how to write the constraint correctly. I tried with this :
    create_clock -period 62.000 -name ext_clk -waveform {0.000 31.000}
    Parsing XDC File [I:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/constrs_1/new/NeoScan.xdc]
    INFO: [Constraints 18-483] create_clock: no pin(s)/port(s)/net(s) specified as objects, only virtual clock 'ext_clk_1' will be created. If you don't want this, please specify pin(s)/ports(s)/net(s) as objects to the command. [I:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/constrs_1/new/NeoScan.xdc:1]
    Finished Parsing XDC File [I:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/constrs_1/new/NeoScan.xdc]
    Parsing XDC File [i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc] for cell 'design_1_i/axi_clock_converter_0/inst'
    INFO: [Timing 38-35] Done setting XDC timing constraints. [i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc:16]
    get_clocks: Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1924.273 ; gain = 9.031
    WARNING: [Vivado 12-1008] No clocks found for command 'get_clocks -of_objects [get_pins design_1_i/axi_clock_converter_0/inst/m_axi_aclk]'. [i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc:17]
    Resolution: Verify the create_clock command was called to create the clock object before it is referenced.
    INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc:17]
    CRITICAL WARNING: [Common 17-55] 'get_property' expects at least one object. [i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc:20]
    Resolution: If [get_<value>] was used to populate the object, check to make sure this comm and returns at least one valid object.
    Finished Parsing XDC File [i:/Repositories/Zynq/AsyncAXI4Lite/AsyncAXI4Lite.srcs/sources_1/bd/design_1/ip/design_1_axi_clock_converter_0_1/design_1_axi_clock_converter_0_1_clocks.xdc] for cell 'design_1_i/axi_clock_converter_0/inst'

  • Report showing Critical/Warning alerts in New resolution state 1 day old

    Hi,  Has anyone come up with a SQL query that will pull this information correctly - there are a few other posts I've read that mentioned the perculiarity that resolution state isnt updated on original alert and instead 'pairs' of alerts ar created
    with one having the new state (0) and the associated one with the closed state(255).  I'm aware the active alerts are stored in OpsDB and then groomed to DataWarehouse, and its a bit of a minefield to know where to pull the various properties from - I've
    seem some examples with very complicated joins between the various tables.
    I am also wondering where the console gets the 'Age' property of an alert (In Monitoring Pane), is it held in one of the tables Which I can directly reference or is it a calulates field which the console displays/formats as days/hours.
    A version of the script I'm hacking away with is as below - it gives me some of the detail but not sure how to get it to display the specific criteria needed (Critical/Warning in state New > 1 day old).  If anyone can supply a working script (for
    SCOM 2012R2 it would be greatly received!!)
    SELECT     a.AlertGuid, a.AlertName, a.AlertDescription, a.Severity, a.Category, active.ResolutionState, active.TimeInStateSeconds, active.StateSetDateTime,
                          Current_ResolutionState.StateSetByUserId, Current_ResolutionState.ResolutionState AS Current_ResolutionState, Current_ResolutionState.TimeFromRaisedSeconds,
                          Current_ResolutionState.StateSetDateTime AS Current_StateSetDateTime, a.RaisedDateTime, vManagedEntity_1.DisplayName, ad.CustomField3,
    ad.CustomField2,
                          ad.CustomField6
    FROM         Alert.vAlert AS a INNER JOIN
                          Alert.vAlertDetail AS ad ON ad.AlertGuid = a.AlertGuid INNER JOIN
                          Alert.vAlertResolutionState AS active ON active.AlertGuid = a.AlertGuid AND active.ResolutionState = 0 INNER JOIN
                          vManagedEntity ON a.ManagedEntityRowId = vManagedEntity.ManagedEntityRowId INNER JOIN
                          vManagedEntity AS vManagedEntity_1 ON a.ManagedEntityRowId = vManagedEntity_1.ManagedEntityRowId AND
                          vManagedEntity.ManagedEntityRowId = vManagedEntity_1.ManagedEntityRowId LEFT OUTER JOIN
                          Alert.vAlertResolutionState AS Current_ResolutionState ON Current_ResolutionState.AlertGuid = a.AlertGuid AND Current_ResolutionState.ResolutionState
    <> 0
    WHERE     (a.RaisedDateTime BETWEEN @StartDate AND @EndDate) AND (Current_ResolutionState.ResolutionState < 11) AND (a.Severity <> 0)
    ORDER BY Current_ResolutionState

    try the following
    SELECT     a.AlertGuid, a.AlertName, a.AlertDescription, a.Severity, a.Category, active.ResolutionState, active.TimeInStateSeconds, active.StateSetDateTime,  Current_ResolutionState1.ResolutionState1 AS Current_ResolutionState,
    Current_ResolutionState1.TimeinstateSeconds1, 
     Current_ResolutionState1.StateSetDateTime1 AS Current_StateSetDateTime, a.RaisedDateTime, vManagedEntity_1.DisplayName, ad.CustomField3, ad.CustomField2, ad.CustomField6
    FROM         Alert.vAlert AS a INNER JOIN
                          Alert.vAlertDetail AS ad ON ad.AlertGuid = a.AlertGuid INNER JOIN
                          Alert.vAlertResolutionState AS active ON active.AlertGuid = a.AlertGuid AND active.ResolutionState = 0 INNER JOIN
                          vManagedEntity ON a.ManagedEntityRowId = vManagedEntity.ManagedEntityRowId INNER JOIN
                          vManagedEntity AS vManagedEntity_1 ON a.ManagedEntityRowId = vManagedEntity_1.ManagedEntityRowId AND
                          vManagedEntity.ManagedEntityRowId = vManagedEntity_1.ManagedEntityRowId LEFT OUTER JOIN
                          (select alertguid, max(resolutionstate) as resolutionstate1 , max(statesetdatetime) as statesetdatetime1,
                            max(timeinstateseconds) as timeinstateseconds1 from Alert.vAlertResolutionState group by alertguid)
                           AS Current_ResolutionState1 ON Current_ResolutionState1.AlertGuid = a.AlertGuid AND
                             Current_ResolutionState1.ResolutionState1 <> 0
    WHERE     (a.RaisedDateTime BETWEEN @StartDate AND @EndDate) AND (Current_ResolutionState1.ResolutionState1 < 11) AND (a.Severity <> 0)
    AND Current_ResolutionState1.timeinstateseconds1 >= 86400 ORDER BY Current_ResolutionState
    Roger

  • "WARNING! Closed connections to peer [standby IP] database! Please restart peer node to bring databases in sync!!"

    i have a two appliances of NAC Manager, i want to make a failover, but i only connect them with a crossover cable on eth1,
    is it neccesary conect a serial cable?, because when i try to verify a failover  they appear  this:
    “WARNING! Closed connections to peer [192.168.0.254] database! Please restart peer node to bring databases in sync!!” in each one

    Hi. I had a lot of those messages. In my scenario the failover bundle works OK during certain time but ocassionally the hearbeat fails (never knew why) and the warning messages appeared. Since there's no sync anymore the config in both manager will be different, so the very first thing to do is to backup the configuration. Please notice if the current active NAM is the primary or the secondary NAM (it's necessary to know this information for the later steps).
    Then you will have to stop both NAM (service perfigo stop)
    Now there's an extra step only if the last active NAM was the secondary : you will have to restore the backup into the primary NAM (since this NAM will have an outdated configuration).
    Now back to the regular steps. You must start both NAM . The primary NAM will be the new active , synchronization will take some minutes, after that the "warning" will be cleared.
    Please rate if it helps.

  • WARNING: Unable to connect to URL:  in oracle b2b adaptor

    Hi
    I am facing the following error while configuring the b2b in jdev
    WARNING: Unable to connect to URL: http://localhost:7001/integration/services/b2b/B2BMetadataWSPort due to javax.xml.soap.SOAPException: Message send failed: emeacache.uk.oracle.com

    Hi,
    Check whether admin server is up and running.
    Regards,
    Anuj

  • I have some problem .i update my iphone3gs software .software downloaded now its not working .warning select network connect to itunes ..please help me

    I have some problem .i update my iphone3gs software .software downloaded now its not working .warning select network connect to itunes ..please help me

    please help me ?

  • L530 ThinkPad 24812TG Solutions Center: Critical Warning on hardwarescan

    Dear Lenovo community/support,
    Recently I did an update on my L530 ThinkPad 24812TG Solutions center. Now it's updates it gives me a critical warning on the hardwarescan. Lenovo solutions center advises me to get in contact with support with the resultcode so they can help me fix it. I searched the support web a little bit but I guess I can't figure it out myself. The critical warning is the following:
    Result code: WHD01V002-WJQDHF
    Device: Thoshiba-TOSHIBAMK5061GSY-82UYT8ZGT
    Date performed: 09/03/2014
    I dont know why the date performed goes a long way back since I didn't have the problem before. If I try to do another hardwarescan the Lenovo solutions center gives the following signal:
    "The Leonovo Solution Center-service is niet available. Restart the application or the computer again if the problem occurs again". As you can probably understand I tried this already but nothing happened.
    Hope someone can help me out with this problem or at least tell me what to do to fix it. 
    Thank you very much. 
    Bart van Loon

    Earlier I posted the link to the update that will directly download. There is an update to Lenovo Solution Center that pretty much removes every single feature it had except for the hardware diagnostics scan. And the warranty countdown timer thing.
    Anyway, I'm guessing it was because of something to do with using a windows 8 app in windows 10. I'd been running an outdated version the whole time. Why couldn't they just make one application that does everything, support, Lenovo updates, machine specific settings, everything? Shove all that System Update, Lenovo Settings, Lenovo Companion and Lenovo Solution Center into one thing. How hard could it be?
    In any case, keep an eye on the Downloads page of the Yoga in Lenovo Support.
    Cheers.

  • Windows 7 computers show a Valid Trust Anchor warning message when connecting to corporate wireless

    We are currently using EAP-TLS  Microsoft:Smart Card or certificates" as the Authentication Method on our Radius/NPS server for authenticating domain laptops to be enable to connect to corporate wireless network. 
    We have a Windows 2003 Root& Issuing CA which has published computer certificates to all the domain laptops/workstations. We have also setup a parallel PKI ( Root and Issuing CA) setup on Windows 2012 OS in the same domain. 
    This Windows 2012 ISsuing CA has also deployed computer certificates to a cpl of testing domain workstations.  So the end result, each of these testing workstations has  2 computer certificates in its PERSONAL Store for client/server auth  (1)
    One issued by the Windows 2003 CA (2) Other cert issued by the Windows 2012 CA.
    We are noticing that windows 7 laptops are throwing a warning message similar to as shown below . I have verified that the ROOT certificate coming from both the CA's described above,  is present in the ROOT TRUSTED CERTIFICATION AUTH Store on each of these
    Windows 7 laptops.   Surprisingly, we don't get this same warning on Windows 8 or 8.1 laptops. 
    Also, if i hit the CONNECT button, i am able to connect to the wireless,
    but then i don't see the "Security " Tab anymore under properties of the wireless profile (SSID).   I found on some forums to click on the Security Tab and further click on "SETTINGS" and check if the ROOT CA cert is present
    in the Trusted Root Certification Authorities” list, .  I dont get  these options anymore after connecting.
      These options do show up on Windows 8 machines after connecting.

    in the wireless connection properties, in the dialog authentication properties you need to select root CAs which are eligible to issue RADIUS server certificates:
    Vadims Podāns, aka PowerShell CryptoGuy
    My weblog: en-us.sysadmins.lv
    PowerShell PKI Module: pspki.codeplex.com
    PowerShell Cmdlet Help Editor pscmdlethelpeditor.codeplex.com
    Check out new: SSL Certificate Verifier
    Check out new:
    PowerShell File Checksum Integrity Verifier tool.

  • Warning about improper connections contains no "I understand the risks" options, I can't proceed

    I installing Thunderbird on Windows 8.1. I am trying to add 3 accounts. When adding the second account Thunderbird warned about insecure transmission of password. I clicked the "I understand the risks". No problem. When I tried to add my third account, I received the warning again only this time there was no "I understand the risks" option. I can't click 'Done', If I change the setting TB can't find the servers. I am stuck and unable to add my last account.
    I have TB installed on my laptop running Windows 7 and had no problems. I am using the same settings as in my version of TB on my laptop. The version of TB is 24.4 on both machines. Of course, the version of TB was younger when I installed on the laptop.
    Thanks, in advance, for any advice.
    Bill Halteman

    I'm stuck too, and don't know what to do.
    I am running windows 7.
    There is excellent documentation of this problem here - https://bugzilla.mozilla.org/show_bug.cgi?id=812750
    Problematically, the warning directs me t FAQ, but when I do a search what I find is that everyone is having the same problem.
    Further, my existing accounts now show Connection security - None and Authentication as Password, transmitted insecurely. I suspect I am lucky that my existing accounts still work.

  • Security warning for any connect VPN " Untrusted VPN server Certificate"

    Is there any way to disable this security warning  ( " Untrusted VPN server Certificate") with self sign certificate on the ASA 

    Hi Anton,
    Please have a look at the link below:
    http://docs.acl.com/ex/300/index.jsp?topic=%2Fcom.acl.ax.exception.installguide%2Fexception%2Finstallation%2Ft_installing_the_self-signed_certificate.html
    This is for IE. You should get steps for FF and CHROME out there easily as well.
    Regards,
    Kanwal
    Note: Please mark answers if they are helpful.

  • Getting a warning everytime i connect a cable to the usb port saying it is drawing to much power from computer with it being connected to any device

    cannot connect i phone 5 or nano to usb port without warnig message appear say device is drawing to much power without a device connect to the cable

    @Steve359 Wonder if you can help???
    We have a Bretford iPad charging station (10 iPads, 30 Pin) when it's plugged into the iMac it charges and syncs all 10 iPads Just fine. We've since picked up 10 more iPads (lightening). This time we bought a Satechi 12 Port USB Hub with Power Adapter & 2 Control Switches (self powered) with wall current in an effort to save about $970 for another Bretford.
    We have tried daisy chaining the Bretford and the Hub and get the Too much power error, We have tried plugging in 10 iPads with just the hub plugged in, works fine until we plug in the Bretford, then error msg appears. (both hub and charging station plugged directly into the iMac) We tried same setup with 10 on the charging station and with the hub plugged in began adding iPad to the self powered hub and get to 13 or 14 and then we get the error. Both devices are supposed to be self powered, both plugged into the wall power, both plugged into the iMac (not Daisy chained). Both Usb cords to the Bretford and the Satechi hub are in pristine condition. The 10 iPads in the Bretford are 30 pin and the Hub are the new Lightening connections. Lightening cables are the ones supplied new with the iPads.
    iMac running 10.8
    Any idea at all how to make this work??
    signed: "Now bald because of ripping my hair out"
    Thanx
    Link to hub and specs:
    http://www.amazon.com/gp/product/B0051PGX2I/ref=oh_details_o00_s00_i00?ie=UTF8&p sc=1

  • Uverse blocks my Time Warner business email connection

    Some months ago it became impossible for me to access my business email from my home computers.  We have had Uverse for some time and had absolutely no problems for two years before I lost the ability to connect to pop.biz.rr.com. I have talked to tech support on at least two occassions and they agreed there was a problem and said they had escalated the problem, but I never heard back.  One of my neighbors has the same problem. Who can help me? Thanks.

    VERY helpful in answering my Rochester.rr.com account. So I turned off my authentication, but had to leave my wife's on because "no two settings can be the same" or whatever it said. I assume that's okay because I just tested both emails and they both sent.
    Any issues with one being set to "no authentication" and one using a password? Does everything just send off of one server? Or should I change her port setting to something else and then change it to "no authentication"?

  • Since I began to use Time Warner Cable internet connection, I find it difficult to get Firefox to open. Firefox.exe opens, but the browser window seldom opens. How can I fix this? I use Windows XP Home.

    A few times, I have gotten Firefox to open.

    Try changing firefox to the default browser: [http://kb.mozillazine.org/Setting_Your_Default_Browser]. That will probably get firefox to open when you dial up.
    I'm not sure what you mean by "the meter accelerating the web page". Can you explain that?
    Thank you

  • Can't Connect to the DBConsole

    I have just installed 10.1.0.2 on an 64-bit AIX server running version 5.2.04. A new repository database was created automatically as part of the installation. Everything went just fine as far as the install is concerned, but I am unable to connect to the DBConsole at port 5500, or anywhere else. I can reach the Agent without any problem at port 1830. Also, EMCTL STATUS indicates that both the Agent and DBConsole are started and functioning properly. What I observe is the following:
    1) When I issue 'emctl start dbconsole' it runs for a considerably long period before it finally completes (10 minutes). When I issue a status request, it completes normally, but I can't connect to http://servername:5500/em/console.
    2) The log files contain no information, however the EMDCTL.TRC file indicates that my connection is being refused --- 2004-12-10 11:43:18 Thread-1 WARN http: snmehl_connect: connect failed to (servername:5500): A re
    mote host refused an attempted connect operation. (error = 79).
    I've checked and rechecked my listener.ora and tnsnames.ora, and see nothing missing or wrong. My Agent log file indicates life is good, as-far-as it's concerned.
    3) If I attempt to FTP to servername:5500, the connection attempt fails. It acts as if there is nothing running out there that's listening on the port.
    4) When I examine the emdb.nohup file, I see reports that the dbconsole app was terminated due to thrashing. Bug report 3972792 contains the same details as what I'm seeing. However, no resolution is listed.
    Has anyone experienced this and know how to correct it? Any help is greatly appreciated.
    Regards. . . .

    Figured it out. We were attempting to configure and
    start DBConsole from remote Telnet consoles.
    Apparently, DBConsole attempts to make a connection
    to XWindows when it is started (why?), and our
    server had access control enabled. Once we executed
    'xhost +' at the server, everything began working
    (mostly). We still get errors from the Apache
    webserver from time-to-time, but we're running.This is one of the things I didn't understand. Why do I need X11 to run a "headless" application?
    Did you set DISPLAY to some valid destination? DBConsole would not run on AIX 4.x without it being set properly.
    Regards,
    Martin

  • Problem with DB2 database connection.

    Hello, I configured a DB2 connection. Was also able to create all the view objects. When I run my application using jdeveloper embedded oc4j I am getting the following error: (The connection is already created and the test is successful. I have also created the entity and view objects.)
    WARNING: Exception creating connection pool. Exception: oracle.oc4j.sql.config.DataSourceConfigException: Unable to create : com.ibm.db2.jcc.DB2Driver
    07/04/25 14:05:12 SEVERE: ApplicationStateRunning.initConnector Error occurred initializing connectors. Exception is: Exception creating connection pool. Exception: oracle.oc4j.sql.config.DataSourceConfigException: Unable to create : com.ibm.db2.jcc.DB2Driver
    07/04/25 14:05:12 SEVERE: ApplicationStateRunning.initConnector Stack trace: oracle.oc4j.sql.DataSourceException: Exception creating connection pool. Exception: oracle.oc4j.sql.config.DataSourceConfigException: Unable to create : com.ibm.db2.jcc.DB2Driver
    at com.evermind.server.ApplicationStateRunning.initDataSourceConnectionPool(ApplicationStateRunning.java:2072)
    at com.evermind.server.ApplicationStateRunning.initDataSourceConnector(ApplicationStateRunning.java:2017)

    Copy the DB2 JDBC JAR file to the \j2ee\home\applib dir or the
    C:\JDeveloper\j2ee\home\lib.

Maybe you are looking for

  • 5700 view interrupted download

    hello all. My pb is when i close the web browser of my nokia 5700 i see ''view interrupted downloads.Yes or No''.if i click on yes i see my bookmarks and if i click on No nothing happen.Someone can help me please. thanks. Sorry for my english

  • Bank Statement Upload problem in FF67

    Hi, We are in Ecc 6.0 Version, We are using the Manual Bank statement process in FF67, Statement is uploading correctly but some times it is not creating the document number  it is giving the * mark even though statement uploaded correctly.. Please l

  • Date Calculating...

    Guyz, I have two block on a single canvas. (Ex: Block1 and Block2) Block1 is Tubular (With Effective_date) Date Field - Database Block Block2 is Form Type (Normal) CAL_DIS Number datatype , Non database block as well. i am fetching the dates from dat

  • A popup message comes when using transaction launcher

    Hello experts. I have configured transaction launcher to show a report program output in the UI (stateful not checked). Everything is working fine, but when I click on any other navigation bar links after seeing the report in UI, a pop-up message com

  • "Sent from my Blackberry" Footer Removal

    How do you remove the automatic footer at the bottom of every message sent from your Blackberry that says "sent from my Blackberry"?  I've searched all the help features on email and sending messages but can't find out how.  I had a Blackberry a coup