Enter an input tax code, not an output tax code in Txn CNMM

Hi All,
We are trying to use PROMAN transaction CNMM from Project systems for converting PR to PO. But when I try to do that I get the following error
"Enter an input tax code, not an output tax code"
So it seems that the system is picking the incorrect sales tax code in PO because of which the PR is not converted to PO then. But when I check in transaction CNMM there is no place to input a tax code anywhere. So the system is probably picking it from somewhere, but I am not able to figure out where is it picking it from.
Does someone have an idea about where the system is picking the tax code?
Regards,
V S

Hi,
Can you do one thing in your testing server....
Create purchase requisition manually for that material and with respect to that requisition try to create PO without putting any tax code, and see whether you are able to create PO, keep the same document types at PR and PO level, (it should be the same when you create PO thru CNMM)
-Saleel

Similar Messages

  • How to maintain a input tax code as a Fixed amount and not as a percentage

    Hello all,
    I want to create a tax code which is not a  % but a fixed amount.
    I created a new condition ZIPC copying JIPC but kept the calculation type as B i.e. Fixed Amount. I created a new Input tax code in FTXP.
    Now when i try to maintain the amount in a condition via FV11 using the new condition type ZIPC  i get the error message Message no. VK010
    "Enter a Currency"
    I had entered INR against the amount yet I keep getting this error.
    Kindly advise.
    Thanks in advance.
    Kavita

    Hi,
    I have created new condition with type B (Fixed Amt) & also assigned it in my pricing procedure, But when I try to create condition record with T code FV11 it gives error Please enter currency.
    Please suggest the way out..
    Regards
    Subhash P.

  • While creating VK11 for output tax error should be ther for input tax codes

    Hi all,
    while creating condition record VK11 for output tax ,in condition record only output tax code should be possible ,if they use any input tax code then error messsage should come or system should not allow to create.how we do this.
    Thanks in Advance,
    Bala

    Hi Jelena Perfiljeva ,
    Thanks for the Reply
    Its  JIVP,JIVC , VAT condition types (India).

  • I am creating  input   tax code in FTXP,BUT i am not getting tax code  whil

    Dear EXpert,
    I am creating  input   tax code in FTXP,BUT i am not getting tax code  while doing PO? what is the reason?
    thanks& Regards,
    saran.

    Hi Saravana
    Please make sure you have done all the following settings in SPRO.
    SPRO>Basic Settings->Taxes->
    1)Define Tax determination rule
    2)define regional codes
    3)Assign Delivering Plants For Tax Determination
    4)Define Tax Relevancy Of Master Records
    5)Maintain Sales Tax Identification Number Determination
    regards
    Yogesh

  • Self billing invoice with input tax code

    Hello experts,
    we are currently trying to implement a billing procedure with credit memos within the module SD. In this set-up the recipient of a service (company using the SAP) creates a credit memo for the renderer of a service (a debitor in SAP) showing the amount the recipient of the service/product has to pay. The credit memo will also show VAT (we currently only try to implement a process for transaction within Germany, no cross-border transactions yet). For the recipient of the service (= issuer of the credit memo) the credit memo is similar to an incoming invoice (self billing invoice). Hence, the shown VAT on this credit memo - if all other requirements are met - can be treated as deductible input tax.
    In order to automatically process the credit memo correctly as incoming invoice it has to be linked to a tax code (Steuerkennzeichen) with tax type "V" (=input tax) (Vorsteuerkennzeichen). To achieve that we have created a new condition record (Konditionssatz) that performs that task.
    So currently we create a credit memo request (Gutschriftsanforderung) which is linked to an input tax code (Vorsteuerkennzeichen) via mentioned condition record. We then create the billing document. During creating the billing document an accounting document should also be created. But that is where our approach does not work properly. The system creates a billing document (credit memo) but does not create the corresponding accounting document. We receive the error message:
    Document .... saved (no accounting document generated)
    Message no. VF050
    When trying to release the document to FI via Transaction VF02 we receive the following, more detailed error message:
    Only output tax is allowed for account 480000 1000, VZ is not allowed
    Message no. FS215
    This problem has to be somehow linked to the usage of an input tax code. If we perform the same procedure with an output tax code (Ausgangsteuerkennzeichen) we will not receive the error message and an accounting document is created.
    It seems that the account determination is responsible for the described problem: Although an input tax code is assigned, the account key (as shown in the overview to the applied conditions on the items within the credit memo request (Item - Condition - Detail)) does not change from "MWS" to "VST" and therefore an output tax account (Account Number 480000) is determined for the tax amount. And for that output tax account the usage of an input tax code is not allowed.
    Has anyone an idea how to solve the described problem or how to implement the billing procedure another way?
    We are aware that there is such a functionality within the module MM called "Evaluated Receipt Settlement (ERS)" but this is not suitable for our purposes since we want to implement this within SD and without the need to create an order first which seems to be necessary for ERS.
    Thanks for your help,
    Bernd

    Hi
    Please check in FS00, of that G/L account  in control data tab what is the Tax Category that has been maintained.Check wheather input tax/output tax has been maintaind or not
    In FS00 in the control tab check the box Posting without tax allowed
    Regards
    Srinath
    Edited by: sri nath on Sep 30, 2011 4:55 PM

  • Input Tax and Output Tax calculating wrong figures

    Hi,
    I have created Input Tax and Output Tax codes in SAP.
    Input Tax- 3%
    Output Tax- 4%
    All the configuration is done as required. But when I am posting the Purchase and Sales Invoice, the tax amount is not calculating correctly.
    For example:
    Input Tax Calculation should be:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29100
    Input Tax A/C............ Dr 900 (@3% on 30000)
    Input Tax Calculation in SAP:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29126.21
    Input Tax A/C............ Dr 873.79 (@3% on 30000)
    The same is happening to Output Tax transactions.
    Please advice.

    My understanding about the Input Tax and Output Tax was wrong. In the issue reported
    Input Tax Calculation should be:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29100
    Input Tax A/C............ Dr 900 (@3% on 30000)---my understanding was wrong.
    Input Tax 3% should be charged on Expense amount, in this case Rs 30000. But because in the editing option calculate tax on net amount option was not selected, hence SAP was giving the below entry, which is correct as well.
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29126.21
    Input Tax A/C............ Dr 873.79 (@3% on 29126.21) which is correct.
    Hence, the Vendor amount should be Expense + Input Tax= 30000 * 3%=900 + 30000 (expense) = 30900.
    Correct Entry should be:
    Vendor A/C...............Cr 30900
    Expense A/C............Dr 30000
    Input Tax A/C............Dr 900
    Resolved. Pankaj has given the correct answer.

  • Sales tax data do not define output tax

    Dear Experts,
    I am creating contract and getting following error  'Sales tax data do not define output tax' in SAP REFX contract
    I have done the following
    define tax types
    define tax groups
    define tax codes
    Assign tax code to tax type and group
    Assignment of tax transactions key
    Assign country to calculation procedure
    Have i missed something? If you see the contract the tax rate  10% is getting picked Please help
    Thanks Regards
    yezdevan

    Hi Vasudevan,
    Check the following checklist and try.
    1. For tax code used, check for percentage value maintenance for the relevant condition type in transaction FV13, if maintained check for date validity and deletion indicators.
    2. Tax code should be assigned to company code at: Logistics - General -> Tax on Goods Movements -> India -> Basic Settings -> Determination of Excise Duty -> Condition-Based Excise Determination -> Assign Tax Code to Company Codes.
    3. The condition type used to maintain the tax code, as seen in FTXP. should have MVST or MWST as the access sequence in OBYZ settings, based on input or output tax respectively.
    Hope this resolves the issue.
    Best Regards,
    Hardik Sharma

  • Input Tax Code mandatory at MIRO screen

    Hi Gurus,
    Is the input tax code mandatory in the MIRO screen? What's the rationale of making it a required field? If the country is tax free, it doesn't make sense to enter the tax code..
    Kindly advise. Thanks.

    Hi,
    SAP had provided a tax code V0 ( Input tax = Zero ). So for all your purchases i hope you might be selecting any one of the tax codes either through info record or through manual addition.
    If the tax code is V0 system wont calcualte any tax and post the cost without tax consideration. whenever it its not equal to V0 system will search for the respecitive condition records or formulas in order to relate the tax conditions related to that particualr tax code so as to post the appropriate tax postings.
    Regards,

  • Why V1 (input tax) apply to PO but not A1

    Hi friends,
    I am creating PO under a UK company code. to my understanding, I should input A1(output tax code) since this is liability. However, system forced me to input V1(input tax). Why? while I realized A1 has been applied to customer invoice.
    isn't GR tax is input tax and liabilty a output tax?
    Please help to understand.
    Thanks,
    Linda

    hi
    PO is the document for paying tax so u have to maintain the input  tax and not output
    for input material input tax for output material output tax
    regards
    kunal

  • Incorrect field KNA1-STCEG in output list for input tax Line items-RFUMSV00

    Hi all,
    I found the incorrect field in RFUMSV00 - Advance Return for Tax on Sales/Purchases. If you want to configure the output list for Input tax: Line items, there is the field VAT Registration No. which has to be LFA1-STCEG, but there is incorrect field KNA1-STCEG. After that I cannot find the values for this field in my output.
    In the output list for Output tax: Line items there is correct field in configuration /LFA1-STCEG/.
    I tried to find some SAP notes but without avail.
    Thanks for help.
    Miroslav

    Hi,
    Please check the following OSS note:-
    https://websmp230.sap-ag.de/sap(bD1lbiZjPTAwMQ==)/bc/bsp/spn/sapnotes/index2.htm?numm=736203
    https://websmp230.sap-ag.de/sap(bD1lbiZjPTAwMQ==)/bc/bsp/spn/sapnotes/index2.htm?numm=640269
    It is picked up from bseg-stceg and not from lfa1-stceg or kna1-stceg
    Regards,
    Gaurav

  • Input tax, output tax, VAT & service tax,

    Dear all,
    I have configured tax on sales & purchase.
    My client wants the following combination.
    Service Tax , input tax,output tax, with VAT & without VAT. I have also configured. Can anyone tell what are the GL accounts that have to be assigned in OB40 under what transaction. for example MWS, VST, etc.?
    i will assign good points.
    Thanks in advance,
    Regards,
    A.Anandarajan.

    > Dear all,
    >
    > I have configured tax on sales & purchase.
    >
    > My client wants the following combination.
    >
    > Service Tax , input tax,output tax, with VAT &
    > without VAT. I have also configured. Can anyone tell
    > what are the GL accounts that have to be assigned in
    > OB40 under what transaction. for example MWS, VST,
    > etc.?
    > <b>Hi for the input and out tax-service tax VAT accounts u need to define a GL account which should be a BS account and on the GL account settings (FS00)
    enter * in the tax category so that it will accept all taxes. Check for the actkey (MWS, VST etc ) and assign proper GL accounts defined in the above step in OB40.</b>> i will assign good points.
    >
    > Thanks in advance,
    >
    > Regards,
    >
    > A.Anandarajan.

  • Input Tax & output tax

    Hi,
    What is the difference between Input tax & output tax,at what time we use input tax &  output tax
    Reagrds,
    Santosh kumar

    Hi
    Input tax is related to Vendor transactions and Output tax is related to Customer transactions. Both the taxes are configured in SAP using T code FTXP.
    Sample Entries
    1. Input Tax
    Expense A/c  Dr.-----
    Input Tax        Dr.-----
    To Vendor                   -
    2. Output Tax
    Customer A/c Dr. -
    To Revenue A/c           -
    To Output Tax Payable -
    Hope this clarifies.
    Regards,
    Thomas.

  • I have this problem,    Tag 'A2B0': Number of input channels is not correct.     Tag 'A2B0': Number of output channels is not correct.     Tag 'B2A0': Number of input channels is not correct.     Tag 'B2A0': Number of output channels is not correct.

    i have this problem,   
    Tag 'A2B0': Number of input channels is not correct.    
    Tag 'A2B0': Number of output channels is not correct.    
    Tag 'B2A0': Number of input channels is not correct.    
    Tag 'B2A0': Number of output channels is not correct.
    I work with Capture One Pro 7, photo editor
    what can I do to solve this problem?

    You may have better luck asking your question here: Capture One 7.x Software for Mac
    OT

  • Why Output tax & Input tax in Plants Abroad

    Hi
    Can any one clarify me for the following questions
    1. Why WIA plants abroad invoice is used , it seems cost is posted to " sales account for stock transfer "
    2. why do we use Output Tax Dest.Ctry,Output Tax Dep. Ctry,Input Tax Dest. Ctry
    Appreciate your answers for the abvove
    Thanks in Advance
    Regards
    Dinesh

    Hi N Dinesh Kumar,
    i am working on Plants abroad Business scenario.
    I have same question which you had ! Did you get the answers for your questions?
    If yes, pls. help me with there anwers.
    Thanks
    AK

  • Input Tax code

    Hello
    How to define Input tax code for I/P ED 5.15% & CST2%
    regards

    Hi
    Refer the below link:
    Re: How to create a tax code?
    Regards,
    GB

Maybe you are looking for

  • Default values for the controlling area and language

    Hello I have a query. I need to set default values for the controlling area and language in the screen "find account assignment data 1" Is it possible to do so? Thanks. Jayawant Gokhale

  • Shockwave Installation Question

    I install shockwave silently during runonce.... No problem..... However, if shockwave is installed on a OS that is not set up for one of the default 3 lang. the installer will stop and ask what lang. to use..... What I would like to know, is there a

  • Custom Tools panels - designed for vertical screens?  [in interface jungle]

    Hi! (hi Rufus Deuchler ) This is a really cool feature. But why can not I stick the toolbar vertically on the bottom or top? So as the control bar? Why all this Ai interface is not intended for displays and vertical work? I have a wide monitors but s

  • MSI GT70 2OD eSATA Port

    I might be asking a stupid question here but where is the eSATA port on the MSI GT70 2OD? On the MSI website under specification it states (sorry can't seem to post a hyperlink): eSATA                          1 eSATA/USB  Combo     1 If it is one of

  • Deployment Error in NWDS

    Hi All.. When i deploy my application on portal , i get following error:: Deployment exception : Server eppune did not accept login request as admin on port 50118. Details: ERROR: Could not establish connection to server eppune at port 50118: Connect