Error in draft invoice for INV1.CogsAcct

Hello everybody,
I need you assistance for an error i recieve when I try to store an Invoice using SKD.
In my system the "Manage Freight in Documents" is set on.
When I try to store invoices using SDK i recieve the error
"Error [INV1.CogsAcct][line1], 'Impossible to update the field (ODBC -1029)' (-1029)
I using italian localization of 2007A PL48
How can I solve this problem?
Thanks in advance,
Jonny Cortonicchi

Hi
I have the same problem but with SP1 PL10
Dod you get the solution?
Thanks !

Similar Messages

  • Regarding marking of status for generate draft invoice for single projects

    Hi,
    1)
    I have read through the portion - "Automatic Invoice Approve/Release Extension" in the document - "Oracle® Projects APIs, Client Extensions, and Open Interfaces".
    Noticed the package - "pa_client_extn_inv_actions" and procedures - "approve_invoice, release_invoice".
    I understand that these procedure play role in the actually approving & release of the invoice but will this also play role in changing the status or some other code need to be written for changing the status.
    My requirement of customizing a workflow for approval also need to mark status as unapproved when not approved. Not sure if same procedure will help.I am completely new in Project accounting so not sure if I have put forward very basic questions.
    2) Second question is in regards to the way this procedure should be modified :
    This is the code for approve_invoice:
    /*----------------------------------------------------------------------+
    | Approve Customer Invoice Template |
    +----------------------------------------------------------------------*/
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2, --File.Sql.39 bug 4440895
    X_Status out NOCOPY number ) is --File.Sql.39 bug 4440895
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    -- Add your Approve Invoice Logic here.
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    -- Do not add 'commit' or 'rollback' in your code, since Oracle
    -- Projects controls the transaction for you.
    EXCEPTION
    when others then
    -- Add your exception handler here.
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    I have shown below what I understand on the modifications to be done on the same:
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2,
    X_Status out NOCOPY number ) is
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    X_Approve_Flag := 'Y';
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    EXCEPTION
    when others then
    X_Status = 1;
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    **Will this modification "X_Approve_Flag := 'Y';" result in the invoices to be approved if I put this after the APPROVE path and if I put "X_Approve_Flag := 'N';", does that mean that the invoice would be rejected/unapproved so that I can put it after the REJECT path ?
    3)
    Custom workflow launch procedure to be fired from billing extension screen. Presently what is done is in the project template screen, in the billing assignment, the billing extension is referred.
    That means for each and every project, billing extension name will be attached.
    Present flow is once the generate draft invoice runs, triggers the billing extension, which in turn invoke the custom workflow launch procedure. But my question is how my workflow launch procedure is going to identify the particular transaction which triggered the workflow procedure, because based upon some project number/id/invoice number, I will have a select fetch the data into variable and then setting those into workflow attributes between create process and start process.
    So question is how my procedure will identify the transaction which invoked the procedure to get the particular project number/invoice number may be.
    I am not getting much from my research so thought of sharing with you if someone can assist me on the same.
    Regards,
    Ad

    Hi Krishna,
    Yes. I am invoking my workflow from billing extension only. I have entered the procedure name in the billing extension. Now when the draft invoice runs , setup is such that billing extension gets invoked as a result of "draft invoice for a single project".
    Till now its fine because my workflow is actually getting launched from here.
    Now custom requirement is that the approve and release in invoice review screen will be disabled and the approval process will have to be custom.
    Till this also its fine.
    A scenario is when the custom notification reaches approver. He/she would either approved or reject. If he approves, then invoice should get approved and then released.
    For this we tried and it seems no API is available as mentioned by Oracle. So whats the way to update invoice statuses ?. Presently we are going with a not recommended way - insert into tables . But I feel something should be there on updating status as per custom logic.
    You can let me know what u did for changing statuses
    When we do release from invoice review screen, an RA invoice number gets generated. I also need to update the table with the RA invoice number while insertion in table. Now there is no d/b sequence for this number. I have tried to open the logic of Release button and could get on table name PA_IMPLEMENTATIONS which always have 1 row for per OU. and there is a field next ra invoice number which shows the RA invoice number to be used. This value will keep on incremented as long as RA number gets generated.
    I am stuck at this place , on how to get the RA invoice number with some proper recommended method.
    In short I need a way to update inoice statuses like approved, released other than direct insert in table
    and how to get RA invoice number to be utilised while the release logic
    Regards,
    Ad

  • Error at Draft Invoice

    Invoice rejected in oracle the reason for rejection is invoice line with the same invoice number have been separated by the grouping process, causing duplicate invoice number.

    Hi,
    We operate in Oracle 11i and the error message I get is in Project Accounting, Draft Invoice Review Page. The error is: Invoice rejected in oracle the reason for rejection is invoice line with the same invoice number have been separated by the grouping process, causing duplicate invoice number.

  • Error when posting invoice for Asset purchase order

    Hi,
    we are getting the errore message account determination for asset posting with keys not defined in chart of accounts,when posting an invoice for asset purchase order.
    Can any one see why this error is coming and what will be the solution.
    Nagesh

    Hi Prabhakar,
    Here is the reply,
    we have 10 line items,in which 3 items are freight related and other 7 items are related to goods reciept.
    i am trying to enter invoice for 3 line items at a time with two relevant to goods reciept and other related to non goods receipt(freight related).
    accounting entries generated after the GR are as follows,
    asset under construction account,and GR/IR account.
    Let me know the details is sufficient or not.

  • Error in Excise Invoice for Export

    Dear All,
    I am making excise invoice for Export. I have different series group for export and domestic.
    When I make a excise invoice from billing document for export which has different billing document type than domestic, the excise duty ( 16%, 2% and 1% ) are coming right in J1IIN. But as soon as I click the utilization button the 1% ( SECess ) gets flushed off and also tehe 2% figure changes .
    Can u pls. guide me why this is happening. This is happeningonly in Export.
    Pls. help urgent as the billing is stuck and i am closure of the month.
    Regards
    Sriram.S.

    ALREADY SUGGESTED - REPRODUCED BELOW
    Dear Sriram
    If all the exports are under LoU, then Go to IMG -- Logistics-General --> Tax on Goods Movements --> India --> Business Transactions --> Outgoing Excise Invoices --> Maintain Default Excise Groups and Series Groups.
    Here for the combination of your sales area, shipping point, plant, excise group and series groups (for exports), maintain "U" +under the tab "Exports".+ Now retry.
    thanks
    G. Lakshmipathi
    ps:- please spell the name correctly

  • Error in Viewing Draft Invoice for Service Item Type

    Hi
    I am facing an error in viewing draft service invoice.
    I am saving a document (PO/ Invoice)  as draft.
    When i am trying to view from document draft list by double-clicking it. the Visual Studio Just-in-Time debugger opens up stating SAP Business One.exe encounterd an problem and by clicking NO button the SAP closes.
    Note: The Invoice is created for Service Items, it is working perfect with Item.
    This is urgent - any help
    I am using 2005 B PL 05 with SQL 2005 on Win 2003 SP1
    Indrajit

    Do you have any Addon's.
    As you seek answers here please post a support message to SAP Support
    https://websmp205.sap-ag.de/sbo-message-old

  • Error in generating invoice for a free goods order

    here's the scenario: i took the pricing procedure of my standard order and basically changed PROO from R to S for statistical. rest is the same. gave the new pricing procedure a name starting with Z, then created a document procedure, then created a new order type for free goods sale and assigned everything the way it should be. i still need the taxes because customer will be billed for taxes, just not the base price. everything works fine till i get to billing and try releasing that to accounting, i get this error: Tax code O1 does not appear in any G/L account item. the FICO teams tells me it's because i put PROO as statistical and the system doesn't know where to get the tax from for the invoice. my pricing procedure is as follows:
    10     0     PR00     Bag Price                     X          2               ERL
    20     0     ZSED     Special Excise Duty     10     10     X          10               ZSD
    30     0     ZMWS     Sales Tax     10     10     X          10               MWS
    40     0          Net Value     20     30     X
    45     0     BO02     Material Rebate     40             X                         ERB     ERU
    50     0          Discount     45       
    60     0     ZPFR     Freight                     X
    980     0     WGST     WHT GST 1%     10                       164
    985     0     WCOD     WHT 3.5%     65                       164
    990     0                                                                  A
    any suggestions on what to do and what might be wrong? thanks!

    Hi Prasun,
    I think you could try including mara table in your view and join on matnr.
    Also refer all the quantity fields of MARA.
    I think to find the reference quantity field it needs to know the material whose quantity field is to be referenced.
    Regards,
    Sunmit.

  • Error in Posting Invoice for Accounting (FI)

    Dear All,
    Following is the error, encountered while creating the Invoice. On Saving, earlier System responded with error - "Foreign Trade Data Incomplete, complete for the Account (FI) posting".
    Once  I complete the same, system responded with another Error:
    "Tax statement item missing for tax code A0"
    Message no. FF805
    Diagnosis
    No tax item exists for tax code A0 in a G/L account item. A possible cause is an incorrect transfer of parameters by the application to the Accounting interface.
    Please assist me on the same.
    Thanks in Advance...
    Best Regards,
    Amit k.

    Dear Pramod,
              This issue may be related to the G/L account assignment with the tax code, so,
    -->Go to the FTXP transaction then go in to your tax code details then select the tax type then click on the Tax Accounts tab here you check have you assigned the G/L account or not.
    -->Go to FS00 enter your Tax G/L account check  tax related settings.
    -->Go to OB40 transaction check tax code and Tax G/L account assignments.
    -->Go to VKOA transaction check have you assigned correct Tax G/L account in the revenue account determination.
    I hope it will help you
    Regards,
    Murali.
    Edited by: Murali Mohan.Tallapaneni on Apr 21, 2008 6:08 AM

  • Problem with PRC Generate Draft Invoice

    Hi
    PRC Generate Draft invoice does not generate an invoice for select customers. Receive the "No Eligible Expenditures or Events"
    message - however there is a valid manual billing event created. Validated
    that all prerequisite information has been completed - project setup, agreement
    funded, budget baselined, amount within customer credit limit, customer active,
    etc. This process works fine for some customers but not others - it does
    appear to be customer specific, not project specific.
    Performed the following steps:
    1) Created manual billing event - bill amount populated ONLY
    2) Ran PRC:Generate Revenue for a Single Project - no revenue since bill amount only
    on event
    3) Ran PRC: Generate Revenue Accounting Events - no revenue event since bill amount only
    4) Ran PRC:Create Accounting - no accounting transactions created since no revenue generated - bill event only
    5) Ran PRC:Generate Draft Invoice for a Single Project - ran this individually for
    each project.
    For the customer thats working we get the below in the log file :-
    ...Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11637
    ... For Bill Cust #11637
    ... For Ship Cust #11637
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer - ...Customer #11637 is paying for 100% of this event.
    Entering paifai()Current system time is 03-MAY-2009 14:37:45
    For Customer for which it doesnt work it show the below in the log file :-
    Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11070
    ... For Bill Cust #11070
    ... For Ship Cust #11070
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer -
    Leaving paigaa.Current system time is 03-MAY-2009 14:45:41
    paiend -No of events updated with billed flag is 0
    Can someone throw some light.

    Hello,
    You can check the things below :
    1. Check the Hard Limit. The hard limit setting may be preventing the invoice from
    being generated. To check this,
    1. Navigate to Billing -> Agreements.
    2. Query the agreement for the customer and project you are having
    difficulties with.
    3. If the HARD LIMIT box is checked this could prevent the invoice from
    generating. Uncheck the HARD LIMIT setting.
    4. Save your changes.
    5. Resubmit the PRC: Generate Draft Invoices process.
    2. If Customer on Top task, then check whether the 'Ready to Bill' for the specific Top Task in the Control Billing by Top Task window.
    Thanks,
    Sathish
    www.projectsaccounting.com

  • Cancel a SD invoice error message "no authorization for transaction FB08"

    Hi Gurus,
    I am trying to cancel a SD invoice and am receiving the error message " no authorization for transaction FB08" is coming. Never has this happened in past, i have checked all the security authorizations also and they are in place. Accounting document status is showing as not cleared. Also, as per my understanding cancellation of invoice happens through VF11 which does not calls FB08. Please point out reasons as to why this could be happening and the possible solution thereof.
    regards
    Anmol Pareek

    Hi Anmol
    Once you got the error screen, immediately after that goto T code SU53 and expand all link. Take the screen shot and send it to your BASIS team to provide you proper access.
    Yes you are correct cancellation is done through VF11 but sometime some programs internally calls other T codes.
    take help of your basis team.

  • Error :Maintain pricing conditions for the material for the excise invoice

    Hi
    i have maintained excise data for material, after that i have created scheduled agreement with lp and with 0 value private and dummy tax code  and delivery schedule is released. Now when i do the goods receipt i am getting error " Maintain pricing conditions for the material for the excise invoice date
    Message no. 8I629" can some body can help me.
    with regards
    Narendra kumar

    Hi,
    goto tcode fv11 u have to maintained the condition.
    first enter the condition type like jmop -
    then enter, next screen u have the select the below comination.
    select the plant/vendor/material base u have to maintain the conditions.
    Thank's
    MVS

  • Error in excise invoice creation for differncial price invoice

    Dear all,
    When i am going to create outgoing excise invoice for differncial price invoice
    i am having the error - "Reference document of 10006893 is not delivery document
    Message no. 8I681"
    Can somebody give me solution where to make settings in configration or else.
    Archana

    Kindly refer following SAP Note
    Note 1251126 - Excise Invoice created for Billing document wrt Sales order
    Symptom as suggested by the above SAP Note is:
    In the scenario where billing document is created with reference to the Sales order, the reference document for billing document is not delivery and it is possible to create multiple billing documents wrt the same Sales Order.
    In such a case, the excise invoice should not be allowed to be created with respect to such a billing document through the transaction J1IIN.
    However, current the system is allowing excise invoice to be created wrt the first billing document for the sales order and then for the subsequent billing documents, the following error message is displayed - Modvat utilization done message no 8I 446. This is incorrect.
    This note contains the corrections for not allowing the creation of the excise invoice for these billing documents.
    Hope it assist your cause.
    Thanks & Regards
    JP

  • I purchased a magazine using the Zinio app which I'd recently downloaded on to a recently purchased iPad. I received an error message at the time (can't recall the details) but have since been invoiced for the $8.99. How can I get the Magazine I purchased

    I purchased a magazine using the Zinio app which I'd recently downloaded on to a recently purchased iPad. I received an error message at the time (can't recall the details) but have since been invoiced for the $8.99. How can I get the Magazine I purchased?

    FOR ASSISTANCE WITH ORDERS - iTUNES STORE CUSTOMER SERVICE
    For assistance with billing questions or other order inquiries, please refer to our online support page by clicking here: http://www.apple.com/support/itunes/store/. If you cannot find the answers you are seeking in our robust knowledge base, you can contact us by visiting the following URL http://www.apple.com/support/itunes/store/, clicking on the appropriate Customer Service topic, then using the contact button or email form at the bottom of the page. Responses to emails will be provided as soon as possible.
    Phone: 800-275-2273 How to reach a live person: Press 0 four times
    Hours of Operation: Mon-Fri: 9am-5pm ET
    Email: [email protected]
    How to report an issue with Your iTunes Store purchase
    http://support.apple.com/kb/HT1933
    How to Get a Refund from the App Store
    http://gizmodo.com/5886683/how-to-get-a-refund-from-the-app-store
    Canceling a Digital Subscription
    http://gadgetwise.blogs.nytimes.com/2011/10/14/qa-canceling-a-digital-subscripti on/
     Cheers, Tom

  • Error : PRC: Generate Draft Revenue for a Single Project

    Dear all,
    I'm using Project using Cost / Cost as revenue accrual/Billing method
    and I try to Generate Draft Revenue but I have a problem
    Please suggest what could be wrong.
    Log file :
    Projects: Version : 11.5.0 - Development
    Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
    PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
    Current system time is 29-JUL-2009 11:15:45
    Entering parmai()
    ...Connected to Oracle
    Accrue Thru date from Command line is : 2009/08/02 00:00:00
    project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
    start project: end project:
    Revenue is running in Normal revenue mode
    Revenue is not based on specific project type...
    Revenue is not based on specific organization...
    Revenue is not based on specific customer...
    Revenue is not based on specific Agreement...
    Revenue is running for MCB/Non MCB projects...
    Revenue is running for release revenue mode...
    Revenue is not creating the detail report ...
    Revenue Processing Parameter List
    =================================
    -------------- < parameter list > -----------------------
    Accru Through Date ----------------------------------------> 02-Aug-09
    Project ID ------------------------------------------------> 35
    From Project Number --------------------------------------->
    To Project Number ----------------------------------------->
    Adjusting Revenue Run -------------------------------------> No
    Project Type ID -----------------------------------------> 0
    Organization ID ------------------------------------------> 0
    Customer ID ----------------------------------------------> 0
    Agreement ID ----------------------------------------------> 0
    Multi Currency Projects -----------------------------------> No
    Release Draft Revenue -------------------------------------> Yes
    Include Detail Report -------------------------------------> No
    -------------- < End of parameter list > -----------------------
    ...Request ID = 804880
    This concurrent request is not being rescheduled
    Current system time is 29-JUL-2009 11:15:45
    ...about to delete revenue for project id 35
    ...0 draft revenues deleted
    Current system time is 29-JUL-2009 11:15:45
    ...about to process adjustments
    SELECT p.project_id, p.segment1,
    p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
    p.project_bil_rate_date_code,
    p.project_bil_rate_type,
    p.project_bil_rate_date,
    p.project_bil_exchange_rate,
    p.projfunc_currency_code,
    p.projfunc_bil_rate_date_code,
    p.projfunc_bil_rate_type,
    p.projfunc_bil_rate_date,
    p.projfunc_bil_exchange_rate,
    p.revproc_currency_code,
    p.funding_rate_date_code,
    p.funding_rate_type,
    p.funding_rate_date,
    p.funding_exchange_rate,
    p.multi_currency_billing_flag,
    p.assign_precedes_task
    FROM pa_projects p, pa_project_types t
    WHERE p.project_id = :project_id
    AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
    AND :start_project_number||'x' != :end_project_number||'y'
    AND p.project_type = t.project_type
    AND t.project_type_class_code = 'CONTRACT'
    AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
    FROM pa_summary_project_fundings pf
    WHERE pf.project_id = p.project_id
    AND nvl(pf.revproc_baselined_amount, 0) != 0)
    AND NOT EXISTS
    (SELECT NULL
    FROM pa_draft_revenues r2
    WHERE r2.project_id = p.project_id
    AND r2.released_date||'' is null
    AND r2.generation_error_flag||'' = decode(:mass_gen,
    1, 'Y', r2.generation_error_flag)
    AND (EXISTS (SELECT NULL
    FROM pa_expenditure_items_all i
    WHERE i.project_id = p.project_id
    AND i.cost_distributed_flag ||''= 'Y'
    AND i.revenue_distributed_flag = 'N'
    AND i.expenditure_item_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate)
    AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
    FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
    WHERE r.project_id = i.project_id
    AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
    OR (l.expenditure_item_id = i.expenditure_item_id))
    AND      NVL(l.reversed_flag,'N') = 'N'
    AND      l.line_num_reversed IS NULL
    AND      r.project_id = l.project_id
    AND      r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ LEADING(v)*/NULL
    FROM pa_events v
    WHERE v.project_id = p.project_id
    AND ((v.revenue_distributed_flag = 'N'
    AND v.completion_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate))
    OR (substr(p.distribution_rule,1,4) = 'COST'
    AND v.revenue_distributed_flag = 'Y'
    AND v.completion_date
    > TO_DATE(NVL('02-Aug-09', sysdate))))
    AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
    DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
    AND NVL(revenue_hold_flag, 'N') = 'N'
    AND EXISTS (
    SELECT NULL
    FROM pa_tasks t
    WHERE v.task_id is not NULL
    AND t.ready_to_distribute_flag = 'Y'
    AND v.task_id = t.task_id
    UNION ALL
    SELECT NULL
    FROM pa_tasks t1
    WHERE v.task_id is NULL
    AND t1.ready_to_distribute_flag = 'Y'
    AND v.project_id = t1.project_id )
    AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
    where l.project_id = v.project_id
    AND ( l.task_id = v.task_id OR v.task_id is NULL )
    AND l.event_num = v.event_num
    AND NVL(l.reversed_flag,'N') = 'N'
    AND l.line_num_reversed IS NULL
    AND r.project_id = v.project_id
    AND r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ USE_CONCAT */ NULL
    FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
    WHERE bea.active_flag = 'Y'
    AND bea.billing_extension_id = be.billing_extension_id
    AND (bea.project_id = p.project_id
    OR bea.project_type = p.project_type
    OR bea.distribution_rule = p.distribution_rule)
    AND be.calling_process in ('Revenue','Both')
    AND nvl(be.call_after_adj_flag, 'N') = 'Y'
    AND be.trx_independent_flag = 'Y'))
    Current system time is 29-JUL-2009 11:15:45
    ...0 projects processed for adjustments
    Current system time is 29-JUL-2009 11:15:45
    ...about to generate revenue for project id 35
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:45
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Current system time is 29-JUL-2009 11:15:48
    ...generated revenue for project id 35, number DP700150.01
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:48
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    List of error messages for project id 35 for Revenue at location REG
    Procedure Name Message B Assgn Id Task Id
    pa_billing_pub.inse Create a default event type for this Bi 1
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...about to call AutoAccounting
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Warning in Flex Validation for line '35:353:1:1'
    Null GL# 50269 key flexfield is not allowed
    Warning in Flex Validation for line '35:353:2:1'
    Null GL# 50269 key flexfield is not allowed
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    ...returned from AutoAccounting
    ...about to update pe_expenditures_all for intercompany processing
    Current system time is 29-JUL-2009 11:15:50
    Entering parddl()
    ...setting error codes and bad code combination id to null
    ... Update draft revenues for marking autoaccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    1 rows updated.
    ... Update draft revenues without generation error to normal state
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    Leaving parddl()
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error due to ccid=-1
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error PA_REV_GEN_ERROR
    Current system time is 29-JUL-2009 11:15:50
    ...1 projects processed for revenue generation
    Current system time is 29-JUL-2009 11:15:50
    ...about to update proj summary funding amounts
    executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
    Current system time is 29-JUL-2009 11:15:50
    ...about to generate reports
    Current system time is 29-JUL-2009 11:15:50
    ...completed generating reports
    Process completed.
    Start of log messages from FND_FILE
    End of log messages from FND_FILE
    Executing request completion options...
    ------------- 1) PRINT   -------------
    Printing output file.
    Request ID : 804880      
    Number of copies : 0      
    Printer : noprint
    Finished executing request completion options.
    Concurrent request completed successfully
    Current system time is 29-JUL-2009 11:15:50
    ---------------------------------------------------------------------------

    Hi Dina, thx for your response
    I have error "Rejection Error : Auto Accouting Error"
    There is Output :
    Project Num Revenue(Credited) Customer Agreement Rejection Reason
    DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

  • Error while creating inter-company invoice  for stock transport

    Hi ,
    I am facing following errors while creating inter-company invoice for STO order.
    We have scenario where standard PO is created in  company code A  & then replenishment delivery is created in company code B.While raising inter-company invoice to company code A, we are facing problem.
    In replenishment delivery we have 10 line items. But  when we try to do inter company invoice through VF01/VF04 we get following log
    XXXXXXXXXX(delivery Number) 000000 Customer XXXXX: Customer master table KNVV is missing (VF-022)
    XXXXXXXXXX (delivery Number)000010 The bill-to party could not be determined (VF-047)
    XXXXXXXXXX (delivery Number)000010 The payer could not be determined (VF-048)
    With this first line item from delivery is not flows to invoice & if we saves this invoice then it is get saved with account determination error as some of the data does not comes into invoice header like account assignment group for customer, Payment term, destination country,region, tax classification for customer etc. That means invoice gets created without first line item from delivery with account determination error & so,no accounting document gets generated.
    I checked customer master for all SP,SH,BP,PY they are correctly maintained.This was working fine few months back, but not working now.
    If anybody had come across such kind of scenario, your valuable inputs will be highly appreciated.
    Thanks & kind regards,
    Ganesh Palatshaha

    Hello
    Kindly check the sales area of customer involved, whether the customer maintain with sales area for STO.
    If that is note the case the refer following link:
    - Error VF 047 & 048 while creating Inter company billing
    Regards
    JP

Maybe you are looking for

  • Open Interface for OM to Contracts in R12

    Hi, I am facing an issue regarding with OM to Contracts interface, in R12 Warranty contracts are created with standard coverage and having no line for coverage in table OKC_K_LINES_B. Can anyone let me know how we can insert the actaul coverage line

  • Problem with create view....

    Hi, I've this table (3000 record): AX cod.................name..............area 01...................abc..................10 02...................def...................20 anc-01.............aaa...................15 axy-02.............xxx............

  • Rebate Agreement , Final settlement credit memo request is rejected

    Hi Friends, When we go for final settlement of a rebate agreement we create a final settlement request. After doing so the agreement status becomes "C" and once we create the credit memo for this request the status of agreement moves to  "D". My Prob

  • Easiest way to Center imge in browser window

    I have an almost full page image that I want to remain centered right and left when the browser window is resized. The BG is black so the black should grow evenly when the resized browser window is changed. I have tried different alignments but nothi

  • HT1222 updating through itunes takes too longer to compelete , is there any way to update it quickly?

    hello frnds. i have an apple iphone3gs and becoz of finish charging it is turned off and now it shows "connect to itunes" , but when i connect it to itunes it shows that ur device needs to restore and update. if i did'nt want to restore my iphone . i