Regarding marking of status for generate draft invoice for single projects

Hi,
1)
I have read through the portion - "Automatic Invoice Approve/Release Extension" in the document - "Oracle® Projects APIs, Client Extensions, and Open Interfaces".
Noticed the package - "pa_client_extn_inv_actions" and procedures - "approve_invoice, release_invoice".
I understand that these procedure play role in the actually approving & release of the invoice but will this also play role in changing the status or some other code need to be written for changing the status.
My requirement of customizing a workflow for approval also need to mark status as unapproved when not approved. Not sure if same procedure will help.I am completely new in Project accounting so not sure if I have put forward very basic questions.
2) Second question is in regards to the way this procedure should be modified :
This is the code for approve_invoice:
/*----------------------------------------------------------------------+
| Approve Customer Invoice Template |
+----------------------------------------------------------------------*/
Procedure Approve_Invoice ( P_Project_ID in number,
P_Draft_Invoice_Num in number,
P_Invoice_Class in varchar2,
P_Project_Amount in number,
P_Project_Currency_Code in varchar2,
P_Inv_Currency_Code in varchar2,
P_Invoice_Amount in number,
X_Approve_Flag out NOCOPY varchar2, --File.Sql.39 bug 4440895
X_Status out NOCOPY number ) is --File.Sql.39 bug 4440895
BEGIN
-- Reset the output parameters.
X_Approve_Flag := NULL;
X_status := 0;
-- Add your Approve Invoice Logic here.
-- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
-- If it's null or set to 'N', Approval of Invoice will not be Done.
-- Do not add 'commit' or 'rollback' in your code, since Oracle
-- Projects controls the transaction for you.
EXCEPTION
when others then
-- Add your exception handler here.
-- To raise an application error, assign a positive number to X_Status.
-- To raise an ORACLE error, assign SQLCODE to X_Status.
RAISE;
END Approve_Invoice;
I have shown below what I understand on the modifications to be done on the same:
Procedure Approve_Invoice ( P_Project_ID in number,
P_Draft_Invoice_Num in number,
P_Invoice_Class in varchar2,
P_Project_Amount in number,
P_Project_Currency_Code in varchar2,
P_Inv_Currency_Code in varchar2,
P_Invoice_Amount in number,
X_Approve_Flag out NOCOPY varchar2,
X_Status out NOCOPY number ) is
BEGIN
-- Reset the output parameters.
X_Approve_Flag := NULL;
X_status := 0;
X_Approve_Flag := 'Y';
-- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
-- If it's null or set to 'N', Approval of Invoice will not be Done.
EXCEPTION
when others then
X_Status = 1;
-- To raise an application error, assign a positive number to X_Status.
-- To raise an ORACLE error, assign SQLCODE to X_Status.
RAISE;
END Approve_Invoice;
**Will this modification "X_Approve_Flag := 'Y';" result in the invoices to be approved if I put this after the APPROVE path and if I put "X_Approve_Flag := 'N';", does that mean that the invoice would be rejected/unapproved so that I can put it after the REJECT path ?
3)
Custom workflow launch procedure to be fired from billing extension screen. Presently what is done is in the project template screen, in the billing assignment, the billing extension is referred.
That means for each and every project, billing extension name will be attached.
Present flow is once the generate draft invoice runs, triggers the billing extension, which in turn invoke the custom workflow launch procedure. But my question is how my workflow launch procedure is going to identify the particular transaction which triggered the workflow procedure, because based upon some project number/id/invoice number, I will have a select fetch the data into variable and then setting those into workflow attributes between create process and start process.
So question is how my procedure will identify the transaction which invoked the procedure to get the particular project number/invoice number may be.
I am not getting much from my research so thought of sharing with you if someone can assist me on the same.
Regards,
Ad

Hi Krishna,
Yes. I am invoking my workflow from billing extension only. I have entered the procedure name in the billing extension. Now when the draft invoice runs , setup is such that billing extension gets invoked as a result of "draft invoice for a single project".
Till now its fine because my workflow is actually getting launched from here.
Now custom requirement is that the approve and release in invoice review screen will be disabled and the approval process will have to be custom.
Till this also its fine.
A scenario is when the custom notification reaches approver. He/she would either approved or reject. If he approves, then invoice should get approved and then released.
For this we tried and it seems no API is available as mentioned by Oracle. So whats the way to update invoice statuses ?. Presently we are going with a not recommended way - insert into tables . But I feel something should be there on updating status as per custom logic.
You can let me know what u did for changing statuses
When we do release from invoice review screen, an RA invoice number gets generated. I also need to update the table with the RA invoice number while insertion in table. Now there is no d/b sequence for this number. I have tried to open the logic of Release button and could get on table name PA_IMPLEMENTATIONS which always have 1 row for per OU. and there is a field next ra invoice number which shows the RA invoice number to be used. This value will keep on incremented as long as RA number gets generated.
I am stuck at this place , on how to get the RA invoice number with some proper recommended method.
In short I need a way to update inoice statuses like approved, released other than direct insert in table
and how to get RA invoice number to be utilised while the release logic
Regards,
Ad

Similar Messages

  • Problem with PRC Generate Draft Invoice

    Hi
    PRC Generate Draft invoice does not generate an invoice for select customers. Receive the "No Eligible Expenditures or Events"
    message - however there is a valid manual billing event created. Validated
    that all prerequisite information has been completed - project setup, agreement
    funded, budget baselined, amount within customer credit limit, customer active,
    etc. This process works fine for some customers but not others - it does
    appear to be customer specific, not project specific.
    Performed the following steps:
    1) Created manual billing event - bill amount populated ONLY
    2) Ran PRC:Generate Revenue for a Single Project - no revenue since bill amount only
    on event
    3) Ran PRC: Generate Revenue Accounting Events - no revenue event since bill amount only
    4) Ran PRC:Create Accounting - no accounting transactions created since no revenue generated - bill event only
    5) Ran PRC:Generate Draft Invoice for a Single Project - ran this individually for
    each project.
    For the customer thats working we get the below in the log file :-
    ...Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11637
    ... For Bill Cust #11637
    ... For Ship Cust #11637
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer - ...Customer #11637 is paying for 100% of this event.
    Entering paifai()Current system time is 03-MAY-2009 14:37:45
    For Customer for which it doesnt work it show the below in the log file :-
    Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11070
    ... For Bill Cust #11070
    ... For Ship Cust #11070
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer -
    Leaving paigaa.Current system time is 03-MAY-2009 14:45:41
    paiend -No of events updated with billed flag is 0
    Can someone throw some light.

    Hello,
    You can check the things below :
    1. Check the Hard Limit. The hard limit setting may be preventing the invoice from
    being generated. To check this,
    1. Navigate to Billing -> Agreements.
    2. Query the agreement for the customer and project you are having
    difficulties with.
    3. If the HARD LIMIT box is checked this could prevent the invoice from
    generating. Uncheck the HARD LIMIT setting.
    4. Save your changes.
    5. Resubmit the PRC: Generate Draft Invoices process.
    2. If Customer on Top task, then check whether the 'Ready to Bill' for the specific Top Task in the Control Billing by Top Task window.
    Thanks,
    Sathish
    www.projectsaccounting.com

  • BAPI/FM for setting the user status for the Project definition and WBS elem

    Hi,
    I have to set the User Status for the Project Definition and the WBS elements in that project.
    The User status to be set is the same for the project and wbs elements.
    Please let me know if there is any BAPI/FM to set the user status..
    Thanks in advance.
    Regards
    Shiva

    Hi Shkithija,
    There is a dump encoutered in the form "PS_FLAG_GET_GLOBAL_FLAGS" for 'chk_precommit_ok' in the "BAPI_PS_PRECOMMIT".
    But it is showing SY-SUBRC = 0 when used along with BAPI_TRANSACTION_COMMIT.
    May i know what are input parameters we need to pass it to "BAPI_PS_PRECOMMIT".
    Do you have any idea.
    Please let me know
    Regards
    vishnu

  • Question regarding student/teacher status for Adobe CC

    I'm asking if I can qualify as a student/teacher status for Adobe Creative Cloud sale purchase.  Deadline is Dec. 1.  I have a U of AZ email address and I am a certified volunteer for the University. I have a bonified email address from the University but I wanted to know if this would qualify for this sale purchase.  I don't want to purchase first and ask questions later.  Thank you.

    Adobe Education... Start here https://creative.adobe.com/join/edu
    Education Plan https://creative.adobe.com/plans?plan=edu
    One Year Intro Price http://forums.adobe.com/thread/1448933?tstart=0
    http://www.adobe.com/products/creativecloud/students.edu.html
    http://www.adobe.com/education/students/student-eligibility-guide.edu.html
    ID Proof http://www.adobe.com/store/au_edu/academic_id.html

  • Regarding Creating Functional Specs for BI project

    Hi Gurus,
    I am looking for some documents on "Creating Functional Specs for BI project", as my prokect is in the initial stage.
    Hence we require to collect relevant info for implementing the FI-CO, SD & MM with BI project.
    I will be assigning points.
    My ID is: [email protected]
    Thank you.
    Regards,
    Pradeep Kandepu
    Edited by: pradeep kandepu on May 30, 2008 7:32 AM

    HI shanbhu
    can u please send those document to me also..
    and if you hace send me the Technical spec also
    My id is [email protected]
    Edited by: Bramhananda Reddy on Jun 7, 2008 4:31 PM
    Edited by: Bramhananda Reddy on Jun 7, 2008 4:31 PM

  • Multiple Agreement for Single Project

    Hi All,
    In our Implementation client generally gets multiple agreements for a single project. How to specify the Agreement Reference when we are creating an Event for billing? By the way have set up the funding option at the Project Level.
    Thanks in Advance
    Saptarshi

    Hi
    The problem you are raising has no solution by standard functionality.
    Oracle promised to solve that for the first time in release 12.1 that should be available during 2009.
    There could be customized solution which involve several parts:
    Add a DFF on the event, so user can enter the agreement number.
    Guide the user to run the GDI process for range of projects with the parameters - project number and agreement number
    Develop a billing extension called on pre-processing of the GDI and another one called on the post processing step of the GDI.
    The pre-process billing extension will put all project events that are not of the requested agreement on Hold, and any different agreement should also be "put aside in a non billable situation". The post process billing extension will release the hold from the events, and return the agreements to "normal".
    I can admit such customization is ugly and risky. However, we have done it and it is working for us on production for several years. I have presented a paper regarding that on Collaborate 2007. You may look for the paper called: "Is it possible to invoice by contract using Projects Billing", on the OAUG web site.
    Dina

  • Multiple Billings for Single Project

    Hi Gurus,
    Is there any possibility to do multiple billings for one contract / one project from Project Level.
    How can we perform for Milestone / RRB?  I appreciate with possible steps / process.
    Regards
    Naveen

    Hi Naveen,
    If i understood your query correctly, yes it is possible to do multiple billings for a single project, rather a single sales order.
    As far as Milestone/RRB process is concerned, i would suggest you to go through SAP Help or search the forum. The query is very basic in nature and i am sure you will find the required answers in SAP Help.
    You can revert with more specific queries once you are done with SAP Help.
    Regards,
    Gokul

  • Urgent help!!!!!!Regarding the Credit Memo for oracle project customer invoices

    Hi,
    Can somebody help me in this issue
    we have a requirement from the users that they dont want the system to apply
    the credit memo automatically on the invoice when it released from the projects
    Scenario1: Invoice already been paid now they want to create a credit memo,
    system dont allow them to cancel the invoice the only way as per the theory is
    create a negative invoice and adjust it but the issue here is when ever you
    create a negative invoice it creates as invoice not credit memo which will be
    confusing to the users.
    in this case can we use billing extension to create the transaction type as
    credit memo when ever the invoice has negative amount?
    Or let me know if there is a way to perform this step?
    Scenario2: Invoice is created but they dont want to create a credit memo
    against that invoice since it gets applied automatically, the customer
    requirment will be they want to apply the credit memo for any future invoices
    as requested
    Is there a wya to have a credit memo created with out auto applying to an
    invoice, so that user can apply when ever they want
    what is credit memo on accoutn how it works with these above scenarios.
    sicne i tried setting up but the credit meo or concession is getting appled to
    the particular invoice automatically
    need urgent help required on this issue !!!!!
    Regards
    Lavanya

    Hi,
    For Scenario 1 you have two options:
    1) Update the AR transaction type extension for project invoice- Identify negative amount invoice and change the transaction type to project credit memo. This will update the transaction type on negative invoices before they are interfaced to Oracle AR.
    This is preferred option
    2) Update AR invoice Pre-processor to do the same thing.
    There is no standard setup which will allow you to change trx type of negative invoices.
    For Scenario2 - I dont think there is any direct way to create a credit invoice from Projects and keep it unapplied (again, apart from creating a negative amount invoice). You can create a credit memo in AR and use it to apply against future invoices.
    Regards
    Kaushal

  • Bapi or FM for insertion of status for PS project

    Hi,
    Is there any FM or BAPI through which we can insert status into Projects. in project defination (CJ01) or (CJ02) in basic data tab there is field system status. in front of this field there is push button for maintaining status of project. Where we can select status profile and status required.
    I need some FM to insert status to created projects.
    THanks.

    BAPI-step by step procedure
    http://www.sapgenie.com/abap/bapi/example.htm
    list of all BAPI's
    http://www.planetsap.com/LIST_ALL_BAPIs.htm

  • Status for releasing project

    Hi,
    I have a project which is in created status.We are getting the Budget distribution from Investment Prgm as we are linking measure to project. T code IM52.
    I want to have a control without Budget Distribution to the project, Nobody is allowed to release the project.
    Let me know, how can i achive it.
    Regards
    N.Raju

    Hi Murali,
    The way you said, same i have done it after posting this and tested. Its ok.
    I am thinking, can we have any User exit wherein i can control the project before releasing budget without using User status profile.
    Regards
    N.Raju

  • How to integrate multiple applications for single project as single appl

    Hi,
    I'm struggling to find out about that how to combine all the applications developed by my team members.
    We all created each application having many pages, how to combine all this pages to create as a single appl.
    Can anyone please tell me how to do it?
    Thanks,
    Sundar

    My solution still stands. Search the docs.
    This presentation might assist: http://www.sagecomputing.com.au/papers_presentations/ADF%20Architectural%20Patterns%20-%20LongBeach%20ODTUG%20minus%20pics.pptx
    CM.

  • PRC: Generate Draft Revenue for a Single Project shows error(The project does not have a baseline revenue)

    Project Num                    Project Name                        Revenue Project Invoice     Rejection Reason                             
                                                                       Accrual Invoice Method     
                                                                       Method  Method  at Top Task
    16                             Contract Project                    Event   Event   No          The project does not have a baseline revenue
    Regards,
    Harvir

    Hi Harvir,
    Please check:
    PRC: Generate Draft Revenue for a Single Project Showing Reject Reason As the Project Does Not Have a Baseline Revenue
    Thanks &
    Best Regards,

  • Error : PRC: Generate Draft Revenue for a Single Project

    Dear all,
    I'm using Project using Cost / Cost as revenue accrual/Billing method
    and I try to Generate Draft Revenue but I have a problem
    Please suggest what could be wrong.
    Log file :
    Projects: Version : 11.5.0 - Development
    Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
    PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
    Current system time is 29-JUL-2009 11:15:45
    Entering parmai()
    ...Connected to Oracle
    Accrue Thru date from Command line is : 2009/08/02 00:00:00
    project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
    start project: end project:
    Revenue is running in Normal revenue mode
    Revenue is not based on specific project type...
    Revenue is not based on specific organization...
    Revenue is not based on specific customer...
    Revenue is not based on specific Agreement...
    Revenue is running for MCB/Non MCB projects...
    Revenue is running for release revenue mode...
    Revenue is not creating the detail report ...
    Revenue Processing Parameter List
    =================================
    -------------- < parameter list > -----------------------
    Accru Through Date ----------------------------------------> 02-Aug-09
    Project ID ------------------------------------------------> 35
    From Project Number --------------------------------------->
    To Project Number ----------------------------------------->
    Adjusting Revenue Run -------------------------------------> No
    Project Type ID -----------------------------------------> 0
    Organization ID ------------------------------------------> 0
    Customer ID ----------------------------------------------> 0
    Agreement ID ----------------------------------------------> 0
    Multi Currency Projects -----------------------------------> No
    Release Draft Revenue -------------------------------------> Yes
    Include Detail Report -------------------------------------> No
    -------------- < End of parameter list > -----------------------
    ...Request ID = 804880
    This concurrent request is not being rescheduled
    Current system time is 29-JUL-2009 11:15:45
    ...about to delete revenue for project id 35
    ...0 draft revenues deleted
    Current system time is 29-JUL-2009 11:15:45
    ...about to process adjustments
    SELECT p.project_id, p.segment1,
    p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
    p.project_bil_rate_date_code,
    p.project_bil_rate_type,
    p.project_bil_rate_date,
    p.project_bil_exchange_rate,
    p.projfunc_currency_code,
    p.projfunc_bil_rate_date_code,
    p.projfunc_bil_rate_type,
    p.projfunc_bil_rate_date,
    p.projfunc_bil_exchange_rate,
    p.revproc_currency_code,
    p.funding_rate_date_code,
    p.funding_rate_type,
    p.funding_rate_date,
    p.funding_exchange_rate,
    p.multi_currency_billing_flag,
    p.assign_precedes_task
    FROM pa_projects p, pa_project_types t
    WHERE p.project_id = :project_id
    AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
    AND :start_project_number||'x' != :end_project_number||'y'
    AND p.project_type = t.project_type
    AND t.project_type_class_code = 'CONTRACT'
    AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
    FROM pa_summary_project_fundings pf
    WHERE pf.project_id = p.project_id
    AND nvl(pf.revproc_baselined_amount, 0) != 0)
    AND NOT EXISTS
    (SELECT NULL
    FROM pa_draft_revenues r2
    WHERE r2.project_id = p.project_id
    AND r2.released_date||'' is null
    AND r2.generation_error_flag||'' = decode(:mass_gen,
    1, 'Y', r2.generation_error_flag)
    AND (EXISTS (SELECT NULL
    FROM pa_expenditure_items_all i
    WHERE i.project_id = p.project_id
    AND i.cost_distributed_flag ||''= 'Y'
    AND i.revenue_distributed_flag = 'N'
    AND i.expenditure_item_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate)
    AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
    FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
    WHERE r.project_id = i.project_id
    AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
    OR (l.expenditure_item_id = i.expenditure_item_id))
    AND      NVL(l.reversed_flag,'N') = 'N'
    AND      l.line_num_reversed IS NULL
    AND      r.project_id = l.project_id
    AND      r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ LEADING(v)*/NULL
    FROM pa_events v
    WHERE v.project_id = p.project_id
    AND ((v.revenue_distributed_flag = 'N'
    AND v.completion_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate))
    OR (substr(p.distribution_rule,1,4) = 'COST'
    AND v.revenue_distributed_flag = 'Y'
    AND v.completion_date
    > TO_DATE(NVL('02-Aug-09', sysdate))))
    AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
    DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
    AND NVL(revenue_hold_flag, 'N') = 'N'
    AND EXISTS (
    SELECT NULL
    FROM pa_tasks t
    WHERE v.task_id is not NULL
    AND t.ready_to_distribute_flag = 'Y'
    AND v.task_id = t.task_id
    UNION ALL
    SELECT NULL
    FROM pa_tasks t1
    WHERE v.task_id is NULL
    AND t1.ready_to_distribute_flag = 'Y'
    AND v.project_id = t1.project_id )
    AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
    where l.project_id = v.project_id
    AND ( l.task_id = v.task_id OR v.task_id is NULL )
    AND l.event_num = v.event_num
    AND NVL(l.reversed_flag,'N') = 'N'
    AND l.line_num_reversed IS NULL
    AND r.project_id = v.project_id
    AND r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ USE_CONCAT */ NULL
    FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
    WHERE bea.active_flag = 'Y'
    AND bea.billing_extension_id = be.billing_extension_id
    AND (bea.project_id = p.project_id
    OR bea.project_type = p.project_type
    OR bea.distribution_rule = p.distribution_rule)
    AND be.calling_process in ('Revenue','Both')
    AND nvl(be.call_after_adj_flag, 'N') = 'Y'
    AND be.trx_independent_flag = 'Y'))
    Current system time is 29-JUL-2009 11:15:45
    ...0 projects processed for adjustments
    Current system time is 29-JUL-2009 11:15:45
    ...about to generate revenue for project id 35
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:45
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Current system time is 29-JUL-2009 11:15:48
    ...generated revenue for project id 35, number DP700150.01
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:48
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    List of error messages for project id 35 for Revenue at location REG
    Procedure Name Message B Assgn Id Task Id
    pa_billing_pub.inse Create a default event type for this Bi 1
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...about to call AutoAccounting
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Warning in Flex Validation for line '35:353:1:1'
    Null GL# 50269 key flexfield is not allowed
    Warning in Flex Validation for line '35:353:2:1'
    Null GL# 50269 key flexfield is not allowed
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    ...returned from AutoAccounting
    ...about to update pe_expenditures_all for intercompany processing
    Current system time is 29-JUL-2009 11:15:50
    Entering parddl()
    ...setting error codes and bad code combination id to null
    ... Update draft revenues for marking autoaccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    1 rows updated.
    ... Update draft revenues without generation error to normal state
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    Leaving parddl()
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error due to ccid=-1
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error PA_REV_GEN_ERROR
    Current system time is 29-JUL-2009 11:15:50
    ...1 projects processed for revenue generation
    Current system time is 29-JUL-2009 11:15:50
    ...about to update proj summary funding amounts
    executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
    Current system time is 29-JUL-2009 11:15:50
    ...about to generate reports
    Current system time is 29-JUL-2009 11:15:50
    ...completed generating reports
    Process completed.
    Start of log messages from FND_FILE
    End of log messages from FND_FILE
    Executing request completion options...
    ------------- 1) PRINT   -------------
    Printing output file.
    Request ID : 804880      
    Number of copies : 0      
    Printer : noprint
    Finished executing request completion options.
    Concurrent request completed successfully
    Current system time is 29-JUL-2009 11:15:50
    ---------------------------------------------------------------------------

    Hi Dina, thx for your response
    I have error "Rejection Error : Auto Accouting Error"
    There is Output :
    Project Num Revenue(Credited) Customer Agreement Rejection Reason
    DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

  • How to generate one invoice for a sales order with product returns

    Hi All,
    I have a sales order that has been delivered and goods issue posted. Now the customer returns some of the product and I generated a Return using VA01 - document type RE, generated a returns delivery and posted a goods return.
    I need to generate an invoice that will only consider the quantities that were not returned.
    I have checked the sales process pertaining to returns, but all I have found consider generating a Credit Memo.
    Is there a way to generate an invoice that will only invoice the quantities that were not returned? Say I sold 10 pcs, and 2 were returned, so I want to invoice 8.
    Many thanks in advance.
    Oscar

    Hi Sandeep, Jyotsana, Goutham. Thank you all for your time and your replies.
    What is happenning here is that there is a vendor-client relationship where there is a constant flow of purchase orders, and the orders are large.
    I understand that it is standard SAP procedure, but in this case, it is not the most efficient. I have two alternatives the way I see it:
    1. Post the invoices according to SAP Standard, and then the Credit Memo.
    2. Reverse the Purchase Order.
    There are disadvantages with both alternatives:
    1. I get much more paperwork than I really need.
    2. When I get the returns, the delivery, picking and goods issue have been posted, and I would have to reverse all that. Not a very good Idea I think, especially if I have a purchase order with 150 different materials and I get a return of 2 items of one material.
    Again, thanks for your replies. From what you have told me I am thinking that what I'll need is to implement a User Exit or Badi.
    Best Regards,
    Oscar

  • Can we generate two invoice  for same product in two different currency

    dear all gurus,
    actually we are in export sales
    so we are generating export invoice.
    my client is asking for another invoice in INR too this is called comercila invoice
    with this i ve to generate the ARE form
    so how to do this three things
    1.export invoice in foreign currency
    2.commercial invoice in INR
    3.ARE form with referance to this export sales
    with regards
    subrat

    Hi Subrat,
    I really dont know how this scenario can be handled.
    2invoices for the same order is not allowed legally also. So if the user is asking for it, may be first they need to discuss this with their legal department.
    If they say ok, then you may think of only an output, where you can change the values with a standard exchange etc. But having 2invoices in the system of different currencies for a single sales order will lead to lot of problems in reporting.
    Can somebody throw some light on this, on how to enable this without any problems.

Maybe you are looking for

  • Put on account without reference assignment.

    After completion of the payment design F110 are some types of documents (the order for costs) put on account without reference assignment. This problem occured after recording SAPKB46C15.       Many thanks for your help.

  • KM Transports

    Hi i have created a link in the Global Offer, - save the zip to my local desktop. Now i want to -upload the package to the new environment using: Content Management > Content Exchange > Package Upload My problem is i cant see the this Content Exchang

  • Adding BT Cloud - Will I auto-upgrade to new Total...

    If I add the BT Cloud 50GB to my account, will I automatically be upgraded to the Totally Unlimited Infinity Package, or must I upgrade the Infinity Package which then gives me the 50GB cloud storage Solved! Go to Solution.

  • Save for Web not working on MacBook Pro

    Just got a new MacBook Pro (OSX 10.5.7) and installed my CS3 Design Premium Premium, with PS Extened. Save for web window comes up fine and I can access and change any setting. The problem comes when I hit Return and the window pops up asking where t

  • I cannot paste pictures into the body of an email whether on Gmail or AOL. It is very frustrating. Can you help?

    I cannot paste pictures from a website or a document into the body of an email. I use Gmail and AOL and cannot insert pictures. No problem on my old PC, just my MacBookPro. Using MountainLion. Any instructions to solve my problem?