Error : PRC: Generate Draft Revenue for a Single Project

Dear all,
I'm using Project using Cost / Cost as revenue accrual/Billing method
and I try to Generate Draft Revenue but I have a problem
Please suggest what could be wrong.
Log file :
Projects: Version : 11.5.0 - Development
Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
Current system time is 29-JUL-2009 11:15:45
Entering parmai()
...Connected to Oracle
Accrue Thru date from Command line is : 2009/08/02 00:00:00
project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
start project: end project:
Revenue is running in Normal revenue mode
Revenue is not based on specific project type...
Revenue is not based on specific organization...
Revenue is not based on specific customer...
Revenue is not based on specific Agreement...
Revenue is running for MCB/Non MCB projects...
Revenue is running for release revenue mode...
Revenue is not creating the detail report ...
Revenue Processing Parameter List
=================================
-------------- < parameter list > -----------------------
Accru Through Date ----------------------------------------> 02-Aug-09
Project ID ------------------------------------------------> 35
From Project Number --------------------------------------->
To Project Number ----------------------------------------->
Adjusting Revenue Run -------------------------------------> No
Project Type ID -----------------------------------------> 0
Organization ID ------------------------------------------> 0
Customer ID ----------------------------------------------> 0
Agreement ID ----------------------------------------------> 0
Multi Currency Projects -----------------------------------> No
Release Draft Revenue -------------------------------------> Yes
Include Detail Report -------------------------------------> No
-------------- < End of parameter list > -----------------------
...Request ID = 804880
This concurrent request is not being rescheduled
Current system time is 29-JUL-2009 11:15:45
...about to delete revenue for project id 35
...0 draft revenues deleted
Current system time is 29-JUL-2009 11:15:45
...about to process adjustments
SELECT p.project_id, p.segment1,
p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
p.project_bil_rate_date_code,
p.project_bil_rate_type,
p.project_bil_rate_date,
p.project_bil_exchange_rate,
p.projfunc_currency_code,
p.projfunc_bil_rate_date_code,
p.projfunc_bil_rate_type,
p.projfunc_bil_rate_date,
p.projfunc_bil_exchange_rate,
p.revproc_currency_code,
p.funding_rate_date_code,
p.funding_rate_type,
p.funding_rate_date,
p.funding_exchange_rate,
p.multi_currency_billing_flag,
p.assign_precedes_task
FROM pa_projects p, pa_project_types t
WHERE p.project_id = :project_id
AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
AND :start_project_number||'x' != :end_project_number||'y'
AND p.project_type = t.project_type
AND t.project_type_class_code = 'CONTRACT'
AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
FROM pa_summary_project_fundings pf
WHERE pf.project_id = p.project_id
AND nvl(pf.revproc_baselined_amount, 0) != 0)
AND NOT EXISTS
(SELECT NULL
FROM pa_draft_revenues r2
WHERE r2.project_id = p.project_id
AND r2.released_date||'' is null
AND r2.generation_error_flag||'' = decode(:mass_gen,
1, 'Y', r2.generation_error_flag)
AND (EXISTS (SELECT NULL
FROM pa_expenditure_items_all i
WHERE i.project_id = p.project_id
AND i.cost_distributed_flag ||''= 'Y'
AND i.revenue_distributed_flag = 'N'
AND i.expenditure_item_date
<= NVL(TO_DATE(:acc_thru_dt),sysdate)
AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
WHERE r.project_id = i.project_id
AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
OR (l.expenditure_item_id = i.expenditure_item_id))
AND      NVL(l.reversed_flag,'N') = 'N'
AND      l.line_num_reversed IS NULL
AND      r.project_id = l.project_id
AND      r.draft_revenue_num = l.draft_revenue_num
AND (r.released_date||'' is not null
OR r.generation_error_flag||'' = decode(:mass_gen,
1, 'N', 'E')))
union all
SELECT /*+ LEADING(v)*/NULL
FROM pa_events v
WHERE v.project_id = p.project_id
AND ((v.revenue_distributed_flag = 'N'
AND v.completion_date
<= NVL(TO_DATE(:acc_thru_dt),sysdate))
OR (substr(p.distribution_rule,1,4) = 'COST'
AND v.revenue_distributed_flag = 'Y'
AND v.completion_date
> TO_DATE(NVL('02-Aug-09', sysdate))))
AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
AND NVL(revenue_hold_flag, 'N') = 'N'
AND EXISTS (
SELECT NULL
FROM pa_tasks t
WHERE v.task_id is not NULL
AND t.ready_to_distribute_flag = 'Y'
AND v.task_id = t.task_id
UNION ALL
SELECT NULL
FROM pa_tasks t1
WHERE v.task_id is NULL
AND t1.ready_to_distribute_flag = 'Y'
AND v.project_id = t1.project_id )
AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
where l.project_id = v.project_id
AND ( l.task_id = v.task_id OR v.task_id is NULL )
AND l.event_num = v.event_num
AND NVL(l.reversed_flag,'N') = 'N'
AND l.line_num_reversed IS NULL
AND r.project_id = v.project_id
AND r.draft_revenue_num = l.draft_revenue_num
AND (r.released_date||'' is not null
OR r.generation_error_flag||'' = decode(:mass_gen,
1, 'N', 'E')))
union all
SELECT /*+ USE_CONCAT */ NULL
FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
WHERE bea.active_flag = 'Y'
AND bea.billing_extension_id = be.billing_extension_id
AND (bea.project_id = p.project_id
OR bea.project_type = p.project_type
OR bea.distribution_rule = p.distribution_rule)
AND be.calling_process in ('Revenue','Both')
AND nvl(be.call_after_adj_flag, 'N') = 'Y'
AND be.trx_independent_flag = 'Y'))
Current system time is 29-JUL-2009 11:15:45
...0 projects processed for adjustments
Current system time is 29-JUL-2009 11:15:45
...about to generate revenue for project id 35
...Fetching next project
Current system time is 29-JUL-2009 11:15:45
...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:45
...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:45
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
...Else loop 1
...else loop 2
...else loop 4
...else loop 5
...non_zero_amount
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
Leaving pauoarchn()
...Else loop 1
...else loop 2
...else loop 4
...else loop 5
...non_zero_amount
Leaving pauoarchn()
Leaving pauoarchn()
Current system time is 29-JUL-2009 11:15:48
...generated revenue for project id 35, number DP700150.01
...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:48
...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:49
List of error messages for project id 35 for Revenue at location REG
Procedure Name Message B Assgn Id Task Id
pa_billing_pub.inse Create a default event type for this Bi 1
Current system time is 29-JUL-2009 11:15:49
...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:49
...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:49
Current system time is 29-JUL-2009 11:15:49
...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:49
...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
Current system time is 29-JUL-2009 11:15:49
...Fetching next project
Current system time is 29-JUL-2009 11:15:49
Current system time is 29-JUL-2009 11:15:49
...about to call AutoAccounting
Current system time is 29-JUL-2009 11:15:49
Current system time is 29-JUL-2009 11:15:49
Current system time is 29-JUL-2009 11:15:49
Current system time is 29-JUL-2009 11:15:49
Warning in Flex Validation for line '35:353:1:1'
Null GL# 50269 key flexfield is not allowed
Warning in Flex Validation for line '35:353:2:1'
Null GL# 50269 key flexfield is not allowed
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
...returned from AutoAccounting
...about to update pe_expenditures_all for intercompany processing
Current system time is 29-JUL-2009 11:15:50
Entering parddl()
...setting error codes and bad code combination id to null
... Update draft revenues for marking autoaccounting error if any
Current system time is 29-JUL-2009 11:15:50
1 rows updated.
... Update draft revenues without generation error to normal state
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
... Update pa_events for marking AutoAccounting error if any
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
... Update pa_events for setting revenue_distributed_flag to 'N'
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
... Update pa_cust_rev_dist_lines for setting bad CCID to null
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
... Update pa_expenditure_items_all for marking AutoAccounting error if any
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
Current system time is 29-JUL-2009 11:15:50
0 rows updated.
Leaving parddl()
Current system time is 29-JUL-2009 11:15:50
Current system time is 29-JUL-2009 11:15:50
0 rows updated with Generation error due to ccid=-1
Current system time is 29-JUL-2009 11:15:50
0 rows updated with Generation error PA_REV_GEN_ERROR
Current system time is 29-JUL-2009 11:15:50
...1 projects processed for revenue generation
Current system time is 29-JUL-2009 11:15:50
...about to update proj summary funding amounts
executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
Current system time is 29-JUL-2009 11:15:50
...about to generate reports
Current system time is 29-JUL-2009 11:15:50
...completed generating reports
Process completed.
Start of log messages from FND_FILE
End of log messages from FND_FILE
Executing request completion options...
------------- 1) PRINT   -------------
Printing output file.
Request ID : 804880      
Number of copies : 0      
Printer : noprint
Finished executing request completion options.
Concurrent request completed successfully
Current system time is 29-JUL-2009 11:15:50
---------------------------------------------------------------------------

Hi Dina, thx for your response
I have error "Rejection Error : Auto Accouting Error"
There is Output :
Project Num Revenue(Credited) Customer Agreement Rejection Reason
DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

Similar Messages

  • PRC: Generate Draft Revenue for a Single Project shows error(The project does not have a baseline revenue)

    Project Num                    Project Name                        Revenue Project Invoice     Rejection Reason                             
                                                                       Accrual Invoice Method     
                                                                       Method  Method  at Top Task
    16                             Contract Project                    Event   Event   No          The project does not have a baseline revenue
    Regards,
    Harvir

    Hi Harvir,
    Please check:
    PRC: Generate Draft Revenue for a Single Project Showing Reject Reason As the Project Does Not Have a Baseline Revenue
    Thanks &
    Best Regards,

  • "PRC: Generate Asset Lines for a single project" not generating certain asset lines

    Hi,
    There are certain invoice lines for which the PA_ADDITION_FLAG is Y in AP_INVOICE_DISTRIBUTIONS_ALL.
    However, on running the "PRC: Generate Asset Lines for a single project" the same are line amounts are not getting Generated, and are not being shown under Rejected Lines in the output file aswell.
    Can someone sugges what might be the issue?

    What is your PA Thru n FA Dates?
    PA date should be period end and FA date should be month end.........and also check CIP Interface amounts on Capitalization form..
    Regards
    Ragahvender K

  • PRC: Generate Asset Lines for a Single Project shows error(The project has no assets with valid in service dates.)

    Project Number             Project Name                    Exception Reason
    15                         Capital Project Jatyuapura      The project has no assets with valid in service dates.

    HarvirSinghSaini wrote:
    Project Number             Project Name                    Exception Reason
    15                         Capital Project Jatyuapura      The project has no assets with valid in service dates.
    PRC: Generate Asset Lines For A Range Of Projects Prints Exceptions (Doc ID 1519498.1)
    Integrating Oracle Inventory Transactions Into Oracle Projects To Generate Asset Lines & Interface Assets To Fixed Assets (Doc ID 1392743.1)
    Thanks,
    Hussein

  • Problem with PRC Generate Draft Invoice

    Hi
    PRC Generate Draft invoice does not generate an invoice for select customers. Receive the "No Eligible Expenditures or Events"
    message - however there is a valid manual billing event created. Validated
    that all prerequisite information has been completed - project setup, agreement
    funded, budget baselined, amount within customer credit limit, customer active,
    etc. This process works fine for some customers but not others - it does
    appear to be customer specific, not project specific.
    Performed the following steps:
    1) Created manual billing event - bill amount populated ONLY
    2) Ran PRC:Generate Revenue for a Single Project - no revenue since bill amount only
    on event
    3) Ran PRC: Generate Revenue Accounting Events - no revenue event since bill amount only
    4) Ran PRC:Create Accounting - no accounting transactions created since no revenue generated - bill event only
    5) Ran PRC:Generate Draft Invoice for a Single Project - ran this individually for
    each project.
    For the customer thats working we get the below in the log file :-
    ...Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11637
    ... For Bill Cust #11637
    ... For Ship Cust #11637
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer - ...Customer #11637 is paying for 100% of this event.
    Entering paifai()Current system time is 03-MAY-2009 14:37:45
    For Customer for which it doesnt work it show the below in the log file :-
    Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11070
    ... For Bill Cust #11070
    ... For Ship Cust #11070
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer -
    Leaving paigaa.Current system time is 03-MAY-2009 14:45:41
    paiend -No of events updated with billed flag is 0
    Can someone throw some light.

    Hello,
    You can check the things below :
    1. Check the Hard Limit. The hard limit setting may be preventing the invoice from
    being generated. To check this,
    1. Navigate to Billing -> Agreements.
    2. Query the agreement for the customer and project you are having
    difficulties with.
    3. If the HARD LIMIT box is checked this could prevent the invoice from
    generating. Uncheck the HARD LIMIT setting.
    4. Save your changes.
    5. Resubmit the PRC: Generate Draft Invoices process.
    2. If Customer on Top task, then check whether the 'Ready to Bill' for the specific Top Task in the Control Billing by Top Task window.
    Thanks,
    Sathish
    www.projectsaccounting.com

  • Regarding marking of status for generate draft invoice for single projects

    Hi,
    1)
    I have read through the portion - "Automatic Invoice Approve/Release Extension" in the document - "Oracle® Projects APIs, Client Extensions, and Open Interfaces".
    Noticed the package - "pa_client_extn_inv_actions" and procedures - "approve_invoice, release_invoice".
    I understand that these procedure play role in the actually approving & release of the invoice but will this also play role in changing the status or some other code need to be written for changing the status.
    My requirement of customizing a workflow for approval also need to mark status as unapproved when not approved. Not sure if same procedure will help.I am completely new in Project accounting so not sure if I have put forward very basic questions.
    2) Second question is in regards to the way this procedure should be modified :
    This is the code for approve_invoice:
    /*----------------------------------------------------------------------+
    | Approve Customer Invoice Template |
    +----------------------------------------------------------------------*/
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2, --File.Sql.39 bug 4440895
    X_Status out NOCOPY number ) is --File.Sql.39 bug 4440895
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    -- Add your Approve Invoice Logic here.
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    -- Do not add 'commit' or 'rollback' in your code, since Oracle
    -- Projects controls the transaction for you.
    EXCEPTION
    when others then
    -- Add your exception handler here.
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    I have shown below what I understand on the modifications to be done on the same:
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2,
    X_Status out NOCOPY number ) is
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    X_Approve_Flag := 'Y';
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    EXCEPTION
    when others then
    X_Status = 1;
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    **Will this modification "X_Approve_Flag := 'Y';" result in the invoices to be approved if I put this after the APPROVE path and if I put "X_Approve_Flag := 'N';", does that mean that the invoice would be rejected/unapproved so that I can put it after the REJECT path ?
    3)
    Custom workflow launch procedure to be fired from billing extension screen. Presently what is done is in the project template screen, in the billing assignment, the billing extension is referred.
    That means for each and every project, billing extension name will be attached.
    Present flow is once the generate draft invoice runs, triggers the billing extension, which in turn invoke the custom workflow launch procedure. But my question is how my workflow launch procedure is going to identify the particular transaction which triggered the workflow procedure, because based upon some project number/id/invoice number, I will have a select fetch the data into variable and then setting those into workflow attributes between create process and start process.
    So question is how my procedure will identify the transaction which invoked the procedure to get the particular project number/invoice number may be.
    I am not getting much from my research so thought of sharing with you if someone can assist me on the same.
    Regards,
    Ad

    Hi Krishna,
    Yes. I am invoking my workflow from billing extension only. I have entered the procedure name in the billing extension. Now when the draft invoice runs , setup is such that billing extension gets invoked as a result of "draft invoice for a single project".
    Till now its fine because my workflow is actually getting launched from here.
    Now custom requirement is that the approve and release in invoice review screen will be disabled and the approval process will have to be custom.
    Till this also its fine.
    A scenario is when the custom notification reaches approver. He/she would either approved or reject. If he approves, then invoice should get approved and then released.
    For this we tried and it seems no API is available as mentioned by Oracle. So whats the way to update invoice statuses ?. Presently we are going with a not recommended way - insert into tables . But I feel something should be there on updating status as per custom logic.
    You can let me know what u did for changing statuses
    When we do release from invoice review screen, an RA invoice number gets generated. I also need to update the table with the RA invoice number while insertion in table. Now there is no d/b sequence for this number. I have tried to open the logic of Release button and could get on table name PA_IMPLEMENTATIONS which always have 1 row for per OU. and there is a field next ra invoice number which shows the RA invoice number to be used. This value will keep on incremented as long as RA number gets generated.
    I am stuck at this place , on how to get the RA invoice number with some proper recommended method.
    In short I need a way to update inoice statuses like approved, released other than direct insert in table
    and how to get RA invoice number to be utilised while the release logic
    Regards,
    Ad

  • Generate Draft Revenue

    Hi,
    Could anyone please help me out from this error.
    Exception reason while running the PRC:Generate Draft Revenue Process - AutoAccounting Error
    I searched in the metalink. but, could not get apt. solution for this.
    Log file says:
    Entered paaffc
    select CODE_COMBINATION_ID,
    enabled_flag,
    nvl(to_char(start_date_active, 'J'), -1),
    nvl(to_char(end_date_active, 'J'), -1),
    SEGMENT3||'.'||SEGMENT1||'.'||SEGMENT2||'.'||SEGMENT4||'.'||SEGMENT5||'.'||SEGMENT6||'.'||SEGMENT7,detail_posting_allowed_flag,summary_flag
    from GL_CODE_COMBINATIONS
    where CHART_OF_ACCOUNTS_ID = 52550
    and SEGMENT3 is null
    order by SEGMENT3, SEGMENT1, SEGMENT2, SEGMENT4, SEGMENT5, SEGMENT6, SEGMENT7
    paaffc : num_flex = 11904
    paaffc: Before paafui call check: mc_ptr=0, mc_end_ptr=1
    Warning in Flex Validation for line '3381::1:1'
    Null GL# 52550 key flexfield is not allowed
    Warning in Flex Validation for line '3381::1:1'
    Null GL# 52550 key flexfield is not allowed
    paafui: In paafui, decrementing mcptr: mc_ptr=2, mc_end_ptr=1
    Leaving paaaui()
    what i need to do for this.
    Thanks in Advance,
    Lavanya

    Hi Lavanya.
    Check your auto accounting rules, one of the rules is generating a null value, which is why the validation is failing.
    Thanks,
    Ram

  • Error while generating CW kin for the new BG created for Taiwan

    HiAll,
    Please provide your help to resolve this issue,
    Iam getting error while generating CW kin for the new BG created for Taiwan, China.
    Error : Value TW is not a Valid context for the Descriptive Flexfield Person Developer DF.
    Please let me know, is there any step missed to create CW kins for new BG's.
    Thanks-
    Sowmya.
    Edited by: user13419037 on Jul 2, 2012 3:41 AM

    Hello ,
    Your solution can be solved by enabling the displayed check Box in Location Address DFF
    Thanks
    Bindu

  • High Memory utilization for a single project

    Hi All,
    I am facing one issue with one of the projects configured. We have endeca installed on a Windows server and there are multiple projects setup. I am observing that dgraph.exe for a single project is taking as much as 80% of memory on server. I do see that the project is receiving around 300 to 350 requests every day which is slightly higher than other projects. I am required to manually restart the project before business starts and that releases the memory. I am not able to identify whats causing this issue. Any ideas on how to troubleshoot the issue?
    Any suggestions will be of great help..
    Thank You,
    Sunil

    The number of threads will not have any impact on memory usage, however, I agree with Saleh that this doesn't make any sense.
    I would suggest the following:
    Grab the stats page XML (http://[your server name]:[your port]/admin?op=stats).
    Restart the dgraph and see how much memory is taken up on the initial load. Then, assuming the memory consumption is reasonable, issue a couple N=0, N=something queries to the index and track what's happening with how much memory is being taken up.
    If you're still at a normal level, it seems like there must be some process or "bad query" that causes the memory to spike. A possible culprit might be found on the "most expensive queries" section of the stats page but it's possible it won't be there as that only tracks queries that have completed, not queries that are still in process or taking forever.
    The only other explanation I can think of is a rogue "bulk export" query where a user is trying to (for example) export the entire index to excel or something like that. Does your application offer that functionality?
    Regards,
    Patrick Rafferty
    Branchbird

  • Getting error in Portal "Error while generating the PDF" for BI Report

    Hi Experts,
    we are gettign the error while trying to generate the PDF file from the Reports.
    Earlier it was working fine Adobe Reader 8 , currently we have upgrated Adobe Reader 9 in our system.
    After this upggradation browser "*Failed to open PDF in Browser"*
    Could you please suggest me how to diagnostic the error. Do we need to configre something in the Portal or in the Backend BI system for this.
    Please suggest me the needful on this?
    thanks
    Narpal

    Hello,
    i am facing the same problem and found help by 925741:
    "Error while generating PDF"
    There is no more information available when clicking on the error.
    Used Software:
    Adobe 8
    SAP_ABA             700       0018      SAPKA70018     Cross-Application Component
    SAP_BASIS           700       0018      SAPKB70018     SAP Basis Component
    SAP_BW     700       0020     SAPKW70020     SAP NetWeaver BI 7.0
    PI_BASIS       2005_1_70 0018      SAPKIPYJ7I       PI_BASIS 2005_1_700   
    BI_CONT     703     0013     SAPKIBIIQ3     Business Intelligence Content
    Any other ideas?
    Thanks,
    Rainer
    Edited by: Rainer SIGG on Mar 9, 2009 4:41 PM
    Edited by: Rainer SIGG on Mar 9, 2009 5:27 PM
    Edited by: Rainer SIGG on Mar 9, 2009 5:42 PM

  • Error in Viewing Draft Invoice for Service Item Type

    Hi
    I am facing an error in viewing draft service invoice.
    I am saving a document (PO/ Invoice)  as draft.
    When i am trying to view from document draft list by double-clicking it. the Visual Studio Just-in-Time debugger opens up stating SAP Business One.exe encounterd an problem and by clicking NO button the SAP closes.
    Note: The Invoice is created for Service Items, it is working perfect with Item.
    This is urgent - any help
    I am using 2005 B PL 05 with SQL 2005 on Win 2003 SP1
    Indrajit

    Do you have any Addon's.
    As you seek answers here please post a support message to SAP Support
    https://websmp205.sap-ag.de/sbo-message-old

  • Error mesg "Generated DDIC structure for form ZPAYSLIP1 contains errors"?

    Hi,
    I tried to create a payslip using TCODE HRFORMS.
    I clicked on Create button, i specified all the details,
    i.e Form Name   
        Country Grouping
        Form Class
    Here i specified Country Grouping as "40". And Form Class as "PAYSLIP"
    After this i saved it. And i tried to Activate it. Its throwing an error message like....
    "Generated DDIC structure for form ZPAYSLIP1 contains errors"
    Any helps.....

    Hi,
    That is CEDT only. I wrote in my thread description of that i.e PAYSLIP...
    Regards,
    Shankar.

  • Generating an IDOC for Message type PROJECT in Change pointer?

    Hi,
    I am trying to generate an IDOC. for the Message Type PROJECT(Actually this msg. type PROJECT is for BAPI method) in CHANGE POINTERS but it is possible Error is coming, is there any other method to use the message type in change pointer technic.
    Thanks,
    Vinayak,
    Message was edited by: vinayaga sundaram

    Hi Vinay,
    Had a similar requirement few days back..
    What we did was to do a multimapping of 1:N where N = N1 and N2, N1 is the Idocs which you are doing today, N2 is the set of invalid records...
    Now we have written this as a file and then created another interface which will pick the file and based on the name of file we decided the To address. We have used mail package and this interface (file to mail ) was made as a generic one so that it can be used across many interfaces...
    filename and To address relationship was maintained as a value mapping in ID... You can have a thought of this approach and try to send the invalid records as a mail rather than alert.
    Regards
    Suraj

  • Material for a single project spread across different locations

    Dear Friends
    my client is executinig trun key projects. One single project may be spread across different geographical locations. Say Project name is "PRJ001".
    PRJ001 will be executed in Bombay, Hyderabad, Chennai.
    There are 2 scenarios for procuring materail:
    1. Since my these places are quite far away, I might procure material from a venodr near to these locations.
    2. I might give PO to one single vendor to dispatch material to these different locations for project execution.
    In the both the cases, how to handle material?
    What will be best option? Should I create a storage location (my client stores material @ site as these projects run for years)?
    I'm procurial material as Project Stock (Q).
    Say Bomaby location needs 500 no. of material, Hyderabad location needs 700 no. of material, Chennai location needs 300 no. of material.
    Now how do I ensure that the right material with right quantity is reaching respective project site?
    In some cases, project runs in remote location. Where there won't be any connectivity/ access to system. In such cases, if the site engineer enters GR/ IR & activity confirmation in excel sheet & later on sends an e-mail with this excel sheet to the office. How can we upload it to the system so that it updates the required fields in the system?
    Please give your suggestions.
    I appreciate your support/suggestion .
    Thanks

    Hi Amaresh,
    I think your Option no. 01 holds good for your requirement. You can define the corresponding Project sites in Chennai, Mumbai and other places as Storage locations. Better define Seperate storage locations for different site locations.
    I think having a delivery schedule with the specific requirement quantities and the storage location should resolve your issue of handling different quantities for the same material. This you can discuss & sort it out with your MM consultant.
    Hope this gives some idea.
    Regards,
    L.Balachandar

  • Error while generating Web report for UML Modeling

    I am using Sun java studio enterprise 8, i design uml diagrams for that diagrams i am trying to generate a webreport while generating i am getting the error as follows
    "Cannot execute /usr/bin/firefox Check that a valid external browser properly is set. In the Options window, expand IDE Configuration then expand Server and External Tool Settings and Select web Browsers"

    Is anyone having any idea about it...

Maybe you are looking for