Fpga compilation xilinx error 'Process "Map" failed' - 'unroutable situation'

When I try to compile a Labview fpga project on our new system, it fails with the following error summary (the full Xilinx log is attached):
LabVIEW FPGA: The compilation failed due to a xilinx error.
Details:
ERROR:LIT:536 - IBUF symbol "aUserGpio<1>_IBUF" (output
signal=aUserGpio<1>_IBUF) has the attribute IOBDELAY set to value NONE and it
is driving an IODELAY. If the IOBDELAY attribute is on the driving PAD, it
has precedence over the IBUF one. Either the constraint or the design need
modification to prevent an unroutable situation.
Errors found during logical drc.
Design Summary
Number of errors : 1
Number of warnings : 349
Process "Map" failed
Start Time: 10:31:49 AM
End Time: 10:55:32 AM
Total Time: 00:23:43
Hardware:
NI PXIe-1071 Chassis
NI PXIe-8108 Embedded controller
NI PXIe-7965R FPGA FlexRIO FPGA module
NI 5761 250 MS/s 14 bit Analog input digitizer
Installed software:
Labview 2011 version 11.0
Labview FPGA module 11.0.0
FPGA compilation tools (Xilinx12_4)
NI FlexRIO Adapter Module Support 2.2.0
NI-RIO 4.0 (FlexRIO 2.1.0)
Xilinx DRAM compilation bug fix patch from NI article id 5E4FNCDP
Xilinx clock bug fix patch from NI article id 5GFAB7DP
replaces c:\NIFPGA\programs\Xilinx11_5\ISE\xilinx\lib\nt\libPlXil_Clocks.dll; The installed version is c:\NIFPGA\programs\Xilinx12_4-> Manually copied the dll to the installed version
The Project uses the 5761 low speed clip and a DRAM FIFO.
I tried to compile it before installing any patch, after installing the DRAM patch, and after installing both patches and always got a Xilinx error after ~10 minutes compile time. The error summary shown above and the attached Xilinx log are from compiling with both patches installed.
It compiled correctly on our older system:
Hardware:
NI PXIe-1082 Chassis
NI PXIe-8133 Embedded controller
NI PXIe-7965R FPGA FlexRIO FPGA module
NI 5761 250 MS/s 14 bit Analog input digitizer
Installed software:
Labview2011version10.0.0
LabviewFPGAmodule10.0.0
FPGAcompilationtools (Xilinx11_5)
NIFlexRIOAdapterModuleSupport2.1.0
NI-RIO3.5.1 (FlexRIO1.5.0)
XilinxDRAMcompilationbugfixpatchfromNIarticleid5E4FNCDP
Any help / suggestions greatly appreciated,
Fabrizio
Attachments:
XilinxLog.txt ‏1482 KB

Hi Torpedotown, 
Can you tell me what version of FlexRIO Adapter Module Support you are using? 
The 5761 Low Speed CLIP has a constraint that doesn't work properly with some versions of the compilation tools.  In order to solve this you should be able to upgrade to our latest version of FAM support, or go change the constraint manually.  
For the latest version of FAM support, go to http://ni.com/info and enter code "famsoftware"
If you've modified constraint files before and feel comfortable doing it yourself, let me know and I can provide you with the details on how to do that. 
Thanks!
National Instruments
FlexRIO & R-Series Product Support Engineer

Similar Messages

  • Fpga compile worker error (file not found)

    Hi
    I try to get started with the FPGA functionality of cRIO and got stuck. This seems to be no issue of the cRIO(9014) but of the Xilinx software together with NI.
    I am running an English LV 2011 ( many previuos versions installed as well) on  a German Windows XP prof. 32 bit.
    I was setting up a little project and when it came to compiling ran into errors. First I asked a colleague than I checked the NI support web site. Next time I'll contact the NI local support, but maybe some of you guys hast a faster answer before.
    1. I want to run a local compile server. I can start it from start> programs>NI> FPGA. Up pops a  question whether I want to allow others to connect remotly. The answer seems to make no difference.
        I do not see any icon in the task bar or elsewhere. SHOULD I see a process running in the task manager ? 
    2. The NI Service locator seems to be running http:/localhost:3580/dump shows a table  like attached. SHOULD I see the server running here ?
    3. If I start the Compile Worker after some seconds I get a message saying something like file not found. I think, compile server error is only a followup.
    I have already re-installed the Xilinx-Tools from the down loaded iso. (that having started yesterday night ......) .
    I attach as well the log file. (would have liked, but three is the max)
    That whole system of compilation seems to be not very stable when I see all the posts, but I have not found these specific errors.
    So I still hope someone has an idea.
    Thanks in advance
    Gabi 
    7.1 -- 2013
    CLA
    Attachments:
    ServiceLocator.jpg ‏47 KB
    XilinkNotFound.jpg ‏101 KB
    FPGA Fehler.jpg ‏44 KB

    Gabi Tillmann wrote:
    Second thoughts:
    Is it really worth using that so highly promoted FPGA  on cRIO  ???? We'll see.
    This Question is technically wrong!
    Whenever you are using the C-Series IO-Modules of the cRIO you are using the FPGA, even in ScanMode where NI RIO loads pre-defined bitfiles onto the FPGA.
    The FPGA provides you ns Timing, it gives you nativly true parallelism, you are directly programming the bahaviour of FlipFlops, LUTs, Registers and so on.
    It gives you the ability to programm hardware without the need to understand complex HDL languages.
    The cRIO is besides PXI the most flexible and powerful (908x) platform NI offers. The decision to use an FPGA or to use a cRIO should not be "because it's there".
    The decision to use an FPGA-based Platform depends on the complexity of the application, just think about custome beahviour of IOs or custom triggering.
    Christian
    P.s.: Great that you solved your compiler issue.

  • Retrieving SQL error when map failed.

    Hi,
    Is it possible to retrieve the complete error message when a map failed and send it through email? I don't want to logon to the runtime repository (web browser) each time an error occurs.
    Thanks

    Hello, I use a query like this to retrieve error messages:
    select
    x.audit_execution_id task,
    x.parent_audit_execution_id father_task,
    x.task_name,
    x.return_result result,
    x.last_update_date update_date,
    x.elapse seconds,
    round(x.elapse/60,0) minutes,
    x.number_of_task_errors err,
    x.number_of_task_warnings warn,
    err_mess.message
    from rt_owner.wb_rt_audit_executions x,
    (select m.execution_audit_id, m.message_text message
    from RT_OWNER.all_rt_audit_exec_messages m
    union all
    select r.execution_audit_id, e.run_error_message
    from RT_OWNER.all_rt_audit_map_runs r,
    RT_OWNER.all_rt_audit_map_run_errors e
    where r.map_run_id = e.map_run_id (+)
    ) err_mess
    where
    x.audit_execution_id >= (select max (audit_execution_id)
    from RT_OWNER.wb_rt_audit_executions
    where task_name = 'MY_TASK')
    and err_mess.execution_audit_id (+)= x.audit_execution_id
    and x.return_result <> 'OK'
    order by x.audit_execution_id desc;
    The core is the
    select m.execution_audit_id, m.message_text message
    from RT_OWNER.all_rt_audit_exec_messages m
    union all
    select r.execution_audit_id, e.run_error_message
    from RT_OWNER.all_rt_audit_map_runs r,
    RT_OWNER.all_rt_audit_map_run_errors e
    where r.map_run_id = e.map_run_id (+)
    which retrieves errors occurred during map executions.
    If you want to retrieve process errors, you can look at the RAB_RT_EXEC_PROCESS_RUN_ERRORS view.
    I wanted this info (and other) through e-mail too. Previously I did it through an External Process. Now I define these special activities directly in Oracle Workflow.
    I understand that the next release i.e. Paris will add better support for this?
    Hope this helps- Antonio

  • Fpga compile failure Process "Map" failed

    Hi All,
    We purchased a new cRIO 9068 and I am trying to get it installed into a new project with some new cards. We also upgraded our projects to LV2013 - sp1. I have been unable to complete a compile of the FPGA bitfile. It runs for 25 or so minutes and when It goes to do the "final Device Utilization(map)" it fails. It looks like I might be missing something, I but I have installed and reinstalled LV2013 and the Xilinx versions (10.1, 13.4, and 14.4) That does not seem to fix the issue.
    Any Ideas on what it is looking for might also be helpful since a search for "Map" did not help.
    Thanks in advance.
    Solved!
    Go to Solution.

    Here is the log file from the failed compile.
    Attachments:
    XilinxLog.txt ‏2763 KB

  • FPGA Compile Farm Error -61319

    Hello,
    I am having problems compiling FPGA code, I am getting error -61319 when the compile fails. Most frequently it times out during the "estimating resouces planAhead" stage and sometimes in the synthesis stage. I am using:-
    Labview 2012 SP1
    Xilinx 13.4 Compilation Tool
    Windows 7 with 2 GB of RAM
    I have tried reinstalling the Labview FPGA Xilinx module, to no avail. I have tried compiling different projects that have compiled previously, with no success! I have compiled successfully with this PC previously, although I am not sure if I have since changing to 2012.
    I have tried searching for information related to -61319 but all I can find is the error text that accompanies the fault code in the details window. Two images below show the error code I am receiving.
    Any help/advise gratefully received.

    Hi, 
    BODGER: 
    I'm sorry that you're still having difficulties!  In terms of putting the 2011 back on RIO boards, that shouldn't be too much of a problem, you will just have to format them and reinstall the RIO 2011 software.  
    MATHIEU:
    What do you mean by "open the compile worker"?  On my machine, when I run the exe as you suggested, I just get a pop up saying "compile worker running" in the system tray; it should not open any kind of window.
    BOTH OF YOU:
    Please would you run me through, with screenshots, a step by step process of what you're doing to compile the VI, from the project to when you get the error?  I've run out of information on the database as it is, so I'd like to take as much information as I can to our specialists to see if they have any ideas.  
    As I say, I'm sorry that you're both running in to issues and I will do my best to help you resolve these with the information which you give me.  
    Best wishes, 
    Chiara A
    Applications Engineer with NI UK & Ireland

  • LabVIEW FPGA: The compilation failed due to a xilinx error

    I'm getting a "Compilation failed due to Xilinx error" trying to compile code in LabVIEW 2013.The code had compilated successfully in labview2012. Any suggestions on what is causing this issue?
    Details:
    ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd" Line 29: Formal <cparametersignal> has no actual or default value.
    INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaSetOutputDataEnable.vhd" Line 37. cparametersignal is declared here
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd" Line 21: Unit <vhdl_labview> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd ignored due to errors
    -->
    Total memory usage is 204688 kilobytes
    Number of errors : 2 ( 0 filtered)
    Number of warnings : 4 ( 0 filtered)
    Number of infos : 0 ( 0 filtered)
    Process "Synthesize - XST" failed
    Compilation Time
    Date submitted: 2014/2/26 18:15
    Date results were retrieved: 2014/2/26 18:17
    Time waiting in queue: 00:06
    Time compiling: 02:02
    - PlanAhead: 01:16
    - Core Generator: 00:00
    - Synthesis - Xst: 00:35
    Solved!
    Go to Solution.

    I have got the same error, Have you solved this error?
    What you have done?
    jasonneu wrote:
    I'm getting a "Compilation failed due to Xilinx error" trying to compile code in LabVIEW 2013.The code had compilated successfully in labview2012. Any suggestions on what is causing this issue?
    Details:
    ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd" Line 29: Formal <cparametersignal> has no actual or default value.
    INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaSetOutputDataEnable.vhd" Line 37. cparametersignal is declared here
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd" Line 21: Unit <vhdl_labview> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd ignored due to errors
    -->
    Total memory usage is 204688 kilobytes
    Number of errors : 2 ( 0 filtered)
    Number of warnings : 4 ( 0 filtered)
    Number of infos : 0 ( 0 filtered)
    Process "Synthesize - XST" failed
    Compilation Time
    Date submitted: 2014/2/26 18:15
    Date results were retrieved: 2014/2/26 18:17
    Time waiting in queue: 00:06
    Time compiling: 02:02
    - PlanAhead: 01:16
    - Core Generator: 00:00
    - Synthesis - Xst: 00:35
    Mahran

  • FPGA Compile Error due to error in mapping process

    Received the following error while trying to compile a FPGA VI on a PC. (Refer to attachment for details). My PC has a fresh installation of English Windows 2000 with sp4. No other software is installed except LabVIEW 8.2 & FPGA Module 8.2 & NI-RIO.
    I have checked this KB and confirmed that regional settings are English. But the error still exists. I tried compiling the same VI on my laptop with Windows 2000 sp4 and it was successful. Can someone help me? Thank you very much!
    FPGA Compile Error When Compiling LabVIEW FPGA VI
    Error found in mapping process, exiting...
    Errors found during the mapping phase.  Please see map report file for more
    details.  Output files will not be written.
    Design Summary
    Number of errors   :  17
    Number of warnings :   8
    ERROR:Xflow - Program map returned error code 2. Aborting flow execution...
    Message Edited by maimai on 01-08-2007 01:00 AM
    Attachments:
    FPGA Compile Error.txt ‏8 KB

    Hello,
    The key will of course be to isolate differences between your machines.
    I wonder if the following more specific language setting could be the lingering problem.  LabVIEW is a non-unicode program, and there is a language setting in Windows (at least XP) specifically for non-unicode programs.  Try the following (or it's Win2K equivalent) if you haven't already:
    0. Open "Control Panel"
    1. Open the "Regional and Language Options"
    2. On the Advanced tab, choose English (United States) from the drop-down menu under the top section "Language for non-Unicode Programs"
    - This language setting is different from the setting on the "Regional Options" tab. 
    Any other differences you can isolate would be potentially insightful - if you have the same software versions installed in the same order on both machines, we may be looking for something a bit subtle, such as the suspected language setting.
    Best Regards,
    JLS
    Best,
    JLS
    Sixclear

  • Flash Builder 4.6 compile "Map Failed" error

    HI,all
        First,Adobe have no place for  bug report . Yes,you will say  http://bugs.adobe.com/jira/secure/Dashboard.jspa ,but ,i have no account,  also doesn't know how to register one.
    OK ,Today..i will compile an mobile project have  1.5G+  pictures and swfs ..
    i met a "Map failed" error when i make a IOS Package..
    The .log  file content is :
    !ENTRY com.adobe.flexbuilder.project 4 43 2012-03-15 02:08:09.404
    !MESSAGE Map failed
    !STACK 0
    java.lang.Exception
              at com.adobe.flexbuilder.project.internal.FlexProjectCore.createErrorStatus(FlexProjectCore. java:1019)
              at com.adobe.flexbuilder.util.logging.GlobalLogImpl.log(GlobalLogImpl.java:66)
              at com.adobe.flexbuilder.util.logging.GlobalLog.log(GlobalLog.java:52)
              at com.adobe.flexide.multiplatform.ios.packaging.IPAPackager.create(IPAPackager.java:276)
              at com.adobe.flexide.multiplatform.ios.exportrelease.IOSExportReleaseHandler.doPackage(IOSEx portReleaseHandler.java:264)
              at com.adobe.flashbuilder.project.multiplatform.ui.exportrelease.MultiPlatformExportReleaseV ersionManager.doExport(MultiPlatformExportReleaseVersionManager.java:198)
              at com.adobe.flexbuilder.exportimport.releaseversion.ui.ExportReleaseVersionWizard$1.run(Exp ortReleaseVersionWizard.java:208)
    my hardware:
    G41 MotherBoard +  8G RAM + E7200CPU
    My software:
    windows 2008 r2 with sp1 (64bit)+ FlashBuilder 4.6           default config ,very clean system, just install today.
    And , i transfer my project to a Windows XP host ...Oh, My god .....it  works , the  IOS package produced normally.
    SO......so.......i cann;t say any word now .....is  adobe hate windows 7 (32bit,i tested ,same problem )  and Windows 2008?? 
    Raymond

    I constantly had issues with this.  I managed to run into the max for increasing the heap space.  I was able to get beyond the limit by flagging the FlashBuilder.exe file to allow it to allocate to higher memory address ranges.  I'm on a 64 bit machine, so the only limitation was the 32 bit FlashBuilder process.  Anyways i was able to get from 1024m up to 1720m.  Also note that FlashBuilder 4.7 Beta is out and it is a native 64 bit application, so you will get higher addressing there.  I just found it to be too buggy for my every day development tasks.  Also missing the Design view really hurts development.  I hope they put that back in.
    Here's more detail on how I got beyond the java heap ceiling.
    http://chrsmrtn.azurewebsites.net/flash-builder-java-heap-errors-limitations-of-xms-and-xm x/

  • Compilation failed due to a Xilinx error

    I'm getting a "Compilation failed due to Xilinx error" trying to compile code in LabVIEW 2011.  This code runs on a FlexRIO (PXIe-7962R) with an adapter module (NI 5751).  The issue comes when using the IDELAY clip node to synchronize the start of collection between two flexrio/5751 pairs.  The error message mentions "internal_IDELAY_CLIP_DataOut) has DELAY_SRC set to either I or IO but IDATAIN is not driven by an IBUF".  When we faced this issue before it was solved by following the steps in the following knowledge base article:
    http://digital.ni.com/public.nsf/allkb/BBD7A87F2ADC2028862577FB005F6B19
    These patches only mention LabVIEW 2010 but since I'm using LabVIEW 2011 they don't apply.  Any suggestions on what is causing this issue?  I verified that if I remove this clip node it compiles successfully.
    Andy Brown
    Averna

    Hi Andy,
    As mentioned in the KB "this issue is caused by a bug in the Xilinx ISE compiler". It is not related to the version of LabVIEW, but it is related to the version of your compiler. Which version of Xilinx compiler are you using? (Check the contents of the C:\NIFPGA\programs folder). If you are still using the 11.5 version then you can still use the patch even if you are running LabVEW 2011.
    Sev K.
    Applications Engineering Specialist | CLA
    National Instruments

  • Error compiling for IOS "map failed"

    Since i have installed Flash Builder 4.6 I allways get an error when I compile for Device "Map Failed".
    Compiling for Desktop works fine. When I used Flash Builder 4.5.1 I had sometimes the same problem,
    but sometimes compiling worked.
    here is the logfile:
    !ENTRY com.adobe.flexbuilder.project 4 43 2011-12-07 12:16:06.832
    !MESSAGE Map failed
    !STACK 0
    java.lang.Exception
        at com.adobe.flexbuilder.project.internal.FlexProjectCore.createErrorStatus(FlexProjectCore. java:1019)
        at com.adobe.flexbuilder.util.logging.GlobalLogImpl.log(GlobalLogImpl.java:66)
        at com.adobe.flexbuilder.util.logging.GlobalLog.log(GlobalLog.java:52)
        at com.adobe.flexide.multiplatform.ios.packaging.IPAPackager.create(IPAPackager.java:276)
        at com.adobe.flexide.multiplatform.ios.launching.IOSOnDeviceLaunchHandler.doPackage(IOSOnDev iceLaunchHandler.java:314)
        at com.adobe.flexide.multiplatform.ios.launching.IOSOnDeviceLaunchHandler.launch(IOSOnDevice LaunchHandler.java:185)
        at com.adobe.flexide.launching.multiplatform.MultiPlatformLaunchDelegate.launch(MultiPlatfor mLaunchDelegate.java:191)
        at com.adobe.flexide.launching.AbstractFlexLaunchDelegate.launch(AbstractFlexLaunchDelegate. java:244)
        at com.adobe.flexide.launching.AbstractFlexLaunchDelegate.launch(AbstractFlexLaunchDelegate. java:134)
        at org.eclipse.debug.internal.core.LaunchConfiguration.launch(LaunchConfiguration.java:854)
        at org.eclipse.debug.internal.core.LaunchConfiguration.launch(LaunchConfiguration.java:703)
        at org.eclipse.debug.internal.ui.DebugUIPlugin.buildAndLaunch(DebugUIPlugin.java:928)
        at org.eclipse.debug.internal.ui.DebugUIPlugin$8.run(DebugUIPlugin.java:1132)
        at org.eclipse.core.internal.jobs.Worker.run(Worker.java:54)
    !ENTRY com.adobe.flexbuilder.project 4 43 2011-12-07 12:16:07.520
    !MESSAGE Fehler beim Verpacken der Anwendung:
    Map failed
    What can I do ?

    I am getting a similar error when exporting a release build for ios.
    It seems to be caused by the number of assets I include in the project. If I remove all of the video assets from the package it builds a working release. When the video assets are included, it fails to export the release build with the error "map failed".
    So it seems to be the number of assets included or the total size of the included assets that causes the error for me. But I can't find a way around it so can't currently export a release build with all the required assets.
    I'm starting to wonder wether I'm going to have to write some kind of "updater" to download all the required assts to the iOS device when the app is first run, which isn't ideal.

  • FPGA: compilation error: size of concat operation is different than size of the target

    Today I got an error, for which I couldn't find a solution.
    I use the PXI-7813R FPGA, with Xilinx tools 10.1
    At compilation, the error I get is:
    Compilation failed due to a Xilinx error.
    Details:
    ERROR:HDLParsers:804 - "C:/NIFPGA/jobs/TESY1S8_X4PR8hn/NiFpgaAG_000000ce_CaseStructureFrame_0000.vhd" Line 301. Size of concat operation is different than size of the target.
    ERROR:HDLParsers:804 - "C:/NIFPGA/jobs/TESY1S8_X4PR8hn/NiFpgaAG_000000ce_CaseStructureFrame_0000.vhd" Line 372. Size of concat operation is different than size of the target.
    --> 
    Total memory usage is 185944 kilobytes
    Number of errors   :    2 (   0 filtered)
    Number of warnings :    0 (   0 filtered)
    Number of infos    :    0 (   0 filtered)
    Process "Synthesis" failed
    Start Time: 18:25:26
    End Time: 18:28:54
    Total Time: 00:03:27
    What can cause a concat size difference?

    This is by the way the configuration:
    Project: FPGAWrapperMG100125AOD.lvproj
    Target: FPGA Target (RIO0, PXI-7813R)
    Build Specification: fpga_integrator_AOD_random_access
    Top level VI: fpga_integrator_AOD_random_access.vi
    Compiling on LabVIEW FPGA Compile Cloud Service
    Compilation Tool: Xilinx 10.1
    Start Time: 05.07.2011 19:06:12
    Run when loaded to Fpga: FALSE
    Xilinx Options
    Design Strategy: Custom
    Synthesis Optimization Goal: Area
    Synthesis Optimization Effort: Normal
    Map Overall Effort Level: Default Xilinx setting
    Place and Route Overall Effort Level: High
    JobId: FNW72uPWorking Directory: C:\NIFPGA\compilation\FPGAWrapperMG100_FPGATarget_fpgaintegratorAO_9D5B4237
    The Xilinx log is attached.
    Attachments:
    XilinxLog.txt ‏80 KB

  • FPGA Compile error on remote compile worker - but not on local!?

    When i compile using Rebuild for building my VI to FPGA on NI cRio-9082 I get this:
    Then I kill the compile worker on the develop machine, to use remote compile worker.
    Then i get this:
    Should the timing constrains not be the same? 
    Last lines in Xilinx log:
    Process "Generate Post-Place & Route Static Timing" failed
       done.

    Mauritius,
    Brad and I took a closer look at the Xilinx log and we see a line printed that will hopefully help us narrow down the problem. Immediately after completion of the Analysis phase the log has the following line recorded:
    *** glibc detected *** /usr/local/natinst/NIFPGA/programs/xilinx13_4/ISE/bin/lin/unwrapped/trce: double free or corruption (!prev): 0x09fd05e0 **
    It seems there is a problem with the linux worker attempting to free the same memory twice which may be causing the compiler to exit during the mapping phase. We have a few more questions and would like to take a closer look into things for you.
    1. Will any other designs compile succesfully on this linux worker (even very basic VIs)?
    2. If other designs compile, will a simpler version of this design still fail consistently?
    3. If the failed compilation seems to be design specific, would you be willing to provide your design for us to try and reproduce the failure?
    Feel free to personal message me if necessary.
    -Nick-
    Nick C | Staff LabVIEW Platform Product Support Engineer | National Instruments

  • Fpga compile error Port width mismatch

    When I try to compile a FPGA vi, the following error occurs. 
    LabVIEW FPGA: The compilation failed due to a Xilinx error.
    Details:
    ERROR: [Synth 8-549] port width mismatch for port 'cEIOParameter0Signal': port width = 32, actual width = 24 [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051e_SequenceFrame.vhd:41]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051e_SequenceFrame' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051e_SequenceFrame.vhd:21]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051d_CaseStructureFrame_0002' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051d_CaseStructureFrame_0002.vhd:33]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051a_SequenceFrame' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051a_SequenceFrame.vhd:34]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000514_CaseStructureFrame_0001' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000514_CaseStructureFrame_0001.vhd:41]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000513_CaseStructureFrame_0000' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000513_CaseStructureFrame_0000.vhd:50]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000510_CaseStructureFrame_0000' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000510_CaseStructureFrame_0000.vhd:143]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000507_CaseStructureFrame_0001' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000507_CaseStructureFrame_0001.vhd:146]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_000004ff_WhileLoop' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_000004ff_WhileLoop.vhd:157]
    ERROR: [Synth 8-285] failed synthesizing module 'DM_dash_1575_ModuleResource_vi_colon_Clone19' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/DM_dash_1575_ModuleResource_vi_colon_Clone19.vhd:144]
    ERROR: [Synth 8-285] failed synthesizing module 'niFpgaMDKSupportARV_vi_1_colon_Clone18' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/niFpgaMDKSupportARV_vi_1_colon_Clone18.vhd:143]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_Manager' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_Manager.vhd:96]
    ERROR: [Synth 8-285] failed synthesizing module 'TheWindow' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/TheWindow.vhd:196]
    ERROR: [Synth 8-285] failed synthesizing module 'toplevel_gen' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/toplevel_gen.vhd:110]
    Finished RTL Elaboration : Time (s): cpu = 00:00:53 ; elapsed = 00:01:04 . Memory (MB): peak = 861.121 ; gain = 715.336
    ERROR: [Common 17-39] 'source' failed due to earlier errors.
    INFO: [Common 17-83] Releasing license: Synthesis
    1738 Infos, 30 Warnings, 2 Critical Warnings and 14 Errors encountered.
    synth_design failed
    ::ERROR: [Common 17-39] 'source' failed due to earlier errors.
    while executing
    "source -notrace {./.Xil/Vivado-9588-/realtime\toplevel_gen.tcl}"
    invoked from within
    "synth_design -top "toplevel_gen" -part "xc7k70tfbg676-1" -flatten_hierarchy "full""
    (file "C:/NIFPGA/jobs/Riuys15_TD5ekmx/synthesize.tcl" line 25)
    invoked from within
    "source "C:/NIFPGA/jobs/Riuys15_TD5ekmx/synthesize.tcl""
    # exit
    INFO: [Common 17-206] Exiting Vivado at Thu May 07 10:24:37 2015...
    I am not sure what the error is all about. Could someone help me?

    It's usually better to take a look at where the code failed to compile in the process than trying to read through those logs.  In future cases, you'll want to show that information as well.
    Depending on your hardware, you might have been able to see the compile happen simply by hitting compile again. 

  • Xilinx error 59 reported by Translation process, and unhandled exception in ngdbuild

    Hi all,
    Not sure if this is the right forum to post in but I'll try.
    I'm struggeling with compiling my FPGA code on a NI 9074 target with 8 modules. LabView FPGA tells me that it failed due to a xilinx error in the translation process. It looks like the ngdbuild.exe craches (I'm getting questions from CVI to start debugging an unhandled exception. This happens two times every I try to compile the FPGA code. But the exception seems to happen at different places every time. That is at least what I see from the debug window which appears, assuming the number in square bracets means line number in the source. This number seems to shift with every compilation. It shifts from 1864 to 5896.
    The error which is returned to the LabView FPGA is:
    ERROR:ConstraintSystem:59 - Constraint <TIMESPEC "TS_AsynchMite29"= FROM
    PADS(mIoHWord_n) TO PADS(aIoInt*) 0 ns;> [toplevel_gen.ucf(606)]: PADS
    "mIoHWord_n" not found. Please verify that:
    1. The specified design element actually exists in the original design.
    2. The specified object is spelled correctly in the constraint source file.
    ERROR:ConstraintSystem:59 - Constraint <TIMESPEC "TS_AsynchMite30"= FROM
    PADS(mIoHWord_n) TO PADS(mIoDmaReq<*>) 0 ns;> [toplevel_gen.ucf(607)]: PADS
    "mIoHWord_n" not found. Please verify that:
    1. The specified design element actually exists in the original design.
    2. The specified object is spelled correctly in the constraint source file.
    ERROR:ConstraintSystem:59 - Constraint <TIMESPEC "TS_AsynchMite31"= FROM
    PADS(mIoHWord_n) TO PADS(mIoDtack_n) 0 ns;> [toplevel_gen.ucf(608)]: PADS
    "mIoHWord_n" not found. Please verify that:
    1. The specified design element actually exists in the original design.
    2. The specified object is spelled correctly in the constraint source file.
    ERROR:ConstraintSystem:59 - Constraint <TIMESPEC "TS_AsynchMite32"= FROM
    PADS(mIoHWord_n) TO PADS(mIoReady) 0 ns;> [toplevel_gen.ucf(609)]: PADS
    "mIoHWord_n" not found. Please verify that:
    1. The specified design element actually exists in the original design.
    2. The specified object is spelled correctly in the constraint source file.
    ERROR:ConstraintSystem:59 - Constraint <TIMESPEC "TS_AsynchMite33"= FROM
    PADS(mIoHWord_n) TO PADS(mIoData<*>) 0 ns;> [toplevel_gen.ucf(610)]: PADS
    "mIoHWord_n" not found. Please verify that:
    1. The specified design element actually exists in the original design.
    2. The specified object is spelled correctly in the constraint source file.
    Done...
    I'm not familiar with VHDL so I don't know the specifics of what really happens during the compilation. I dont have anything specail timing code in the VI except for loop timers.
    I'm using LabView FPGA 2011, and xilinx 12.4. The code has compiled successfully on earlier LabView versions. There are no errors or warings in my LabView FPGA code that that LabView detects. Is this a bug in LabView FPGA 2011 or Xilinx 12.4? 
    I've attached the log files from the compilation process and the error summary that LabView FPGA gives me.
    Thanks in advance.
    Regards,
    Anders Garmo
    System Architercure Developer
    Attachments:
    FPGA error1.txt ‏26 KB
    FPGA Xilinx Log.txt ‏1316 KB
    fpga error 1.png ‏16 KB

    Peter,
    You are running into bug 1747626. I will send you an email with a fix.
    Regards, Marc We have the same problem here. We have installed the bugfix but it still does not work.

  • FPGA 2010 sp1 Compilatio​n error - TclTasksC:process_077: Failed to complete.

    Hi, I'm using a cRIO 9076, LabVIEW 2010 sp1, LabVIEW RT 2010 sp1, LabVIEW FPGA 2010 sp1, NI-RIO 4.0, Xilinx Compile Tools 11.5. Everytime I try to compile code I have a compilation error that read as follows (The same error come up if I try compiling a very simple VI):
    Compilation failed due to a Xilinx error.
    Details:
    ERROR:TclTasksCrocess_077: Failed to complete. Please inspect the log and report files.false
        while executing
    "process run "Synthesize - XST""
        (file "C:\NIFPGA\jobs\ErIbY60_RB6swoa\synthesize.tcl" line 20)
    ERROR:HDLCompiler:806 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 64: Syntax error near ",".
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 72: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 29: Unit <pkgcommintconfiguration> ignored due to previous errors.
    Parsing package body <PkgCommIntConfiguration>.
    ERROR:HDLCompiler:688 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 135: Package declaration pkgcommintconfiguration is not yet compiled
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 137: <boolean> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 138: <natural> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 140: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:24 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 140: "**" expects 2 arguments
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 141: <maxwidth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 143: <maxwidth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 146: <boolean> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 147: <positive> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 150: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 151: <maxdepth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 154: <maxdepth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 157: <natural> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 158: <natural> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 161: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 163: <numofchannelsused> is not declared.
    Sorry, too many errors..
    -->
    Total memory usage is 136244 kilobytes
    Number of errors   :   19 (   0 filtered)
    Number of warnings :    0 (   0 filtered)
    Number of infos    :    0 (   0 filtered)
    Process "Synthesis" failed
    Start Time: 10:15:58 a.m.
    End Time: 10:16:24 a.m.
    Total Time: 00:00:26,171
    Can someone help me on how to resolve this error?
    Regards 

    Hello CracKatoA
    Did you make some update before this error starts to occur? Or you have never compliled any VI on this computer.
    In case you have compiled any VI previously successfully on this computer, try to reinstall the LabVIEW FPGA module.
    You can also try the following knowledge base:
    http://digital.ni.com/public.nsf/allkb/1F8F94CF0B7D2608862577AF0072662B
    Regards,
    Plínio Costa
    Application Engineer
    National Instruments Brazil

Maybe you are looking for