How to sincronyse clock

my iphone is not syncronizing with the computer clock. I can't change time and date on the iphone

public void wdDoInit()
    //@@begin wdDoInit()
    String str = "<<write the HTML code for displaying clock in the web page here >>>";
  try{
IWDCachedWebResource resource =
WDWebResource.getPublicCachedWebResource(          
str.getBytes("UTF-8"),
        WDWebResourceType.HTML,
        WDScopeType.CLIENTSESSION_SCOPE,
        wdComponentAPI.getDeployableObjectPart(),
     "clock.html");
resource.setResourceName("clock.html");
resource.setReadOnce(false);
wdContext.currentContextElement().setSource(
resource.getAbsoluteURL());                              
    catch(Exception e)
hope it will solve your problem
regards
Sarbjeet singh
Edited by: sarbjeet  singh on Jun 16, 2009 3:46 PM

Similar Messages

  • How to over clock a ms-7231

    how do i over clock a ms-7231 
    specs 
    http://www.msi.com/index.php?func=proddesc&maincat_no=134&cat2_no=&cat3_no=&prod_no=1117

    Quote from: PersonalGroups on 28-September-12, 04:28:00
    Hello, I want to overclock my PC for better Graphics while playing games ..
    Firstly I need to know is it safe to overclock a PC ?
    what are the things involved in it ??
    Kindly provide me a guide how to over clock my board   
    It is 'extremely' important to follow xmad's request for more information about your complete system.
    Question #1, yes, it is 'reasonably' safe if guidelines & adherence to voltage and temperature limits are heeded.
    Question #2, of primary importance is having hardware that 'is' OC'able to begin with. In addition to the hardware, it is normally just a matter of setting up the correct settings in the UEFI/BIOS. You will need some utilities such as CPU-Z, H/W Monitor, etc. Also, a digital multimeter is highly recommended.
    Question #3, there are some 'basics', but every system is different and reacts different to tweaks and adjustments. When we know your components, it will make it easier to make suggestions and recommendations.

  • How to make clock themes for 6233?

    How can I create clocks that works for 6233 to add to the themes I make, knowing that 6233 only supports flashlite 1.1 no higher versions
    http://www.zedge.net/forum/t/57960/how-to-make-clock-themes/

    Hi rosyivory
    Don't know whether this link helps or hinders you:http://flash-lite-tutorial.blogspot.com/2007/05/analog-clock-tutorial.html
    Happy to have helped forum in a small way with a Support Ratio = 37.0

  • How to sync clock of Cisco ASA 5505 from NTP Server on internet

    Hi there!
    i've setup a site, with cisco ASA 5505. It has public ip also.
    i want to sync the clock of firewall from on ntp server on internet, or with internal domain controller that is inside LAN.
    The firewall has public IP also.
    how can i do this?
    Regards!

    Hello Lasandro,
    This should do it!
    http://www.cisco.com/en/US/docs/security/asa/asa84/configuration/guide/basic_hostname_pw.html#wp1236530
    Looking for some Networking Assistance? 
    Contact me directly at [email protected]
    I will fix your problem ASAP.
    Cheers,
    Julio Carvajal Segura
    http://laguiadelnetworking.com

  • How to show clock on f4 in input field

    Hi All,
    I did bind one of the context attributes which has CLOCK input help(BALTIME,UZEIT) to a input field but still I am not able to get the clock on f4 of the input field
    How can I show clock in input field
    Thanks
    Bala Duvvuri

    http://code.google.com/p/abap-sdn-examples-tpj/downloads/detail?name=NUGG_WD_TIMESTAMP_VALUE_HELP.nugg&can=2&q=
    http://code.google.com/p/abap-sdn-examples-tpj/downloads/detail?name=ChapterX%20-%20User%20Programmed%20Value%20Help.pdf&can=2&q=

  • How to apply clock to a ADC from NI ELVIS II+ board

    How to apply a clock to a ADC for ADC conversion from NI ELVIS II+.
    The input signal to ADC for conversion is 50 Hz applied from the function generator, now how to apply a CLOCK to this ADC for working.
    Please help....

    Look at the spectrum analysis and Fourier transform VIs. They should be able to do this.
    Lynn

  • How to set clock skew property at client side when invoking web services

    Hello,
    now we're using weblogic 11g, we want to set the clock skew properties.
    in server side, we "Create a Web Service security" to set the "Clock Skew" property, change it value from 60000 to 120000, then we invoke the web service from stand-alone client on another machine, the clock skew between the server machine an client machine is 80000. but it still throw exception:
    javax.xml.ws.soap.SOAPFaultException: Message Created time past the current time even accounting for set clock skew+
    at weblogic.wsee.jaxws.framework.jaxrpc.TubeFactory$JAXRPCTube.processResponse(TubeFactory.java:241)+
    we think we still need set the clock skew at client side. in webloigc8.1 doc(http://download.oracle.com/docs/cd/E13222_01/wls/docs81/webserv/client.html), we find there's properties such as "weblogic.webservice.security.clock.precision" we can set in client side, but in weblogic 10.3 doc, we can't find these properties.
    now how to set the clock skew at stand-alone client in weblogic 11g?
    Thanks!
    Fred

    Hello,
    now we're using weblogic 11g, we want to set the clock skew properties.
    in server side, we "Create a Web Service security" to set the "Clock Skew" property, change it value from 60000 to 120000, then we invoke the web service from stand-alone client on another machine, the clock skew between the server machine an client machine is 80000. but it still throw exception:
    javax.xml.ws.soap.SOAPFaultException: Message Created time past the current time even accounting for set clock skew+
    at weblogic.wsee.jaxws.framework.jaxrpc.TubeFactory$JAXRPCTube.processResponse(TubeFactory.java:241)+
    we think we still need set the clock skew at client side. in webloigc8.1 doc(http://download.oracle.com/docs/cd/E13222_01/wls/docs81/webserv/client.html), we find there's properties such as "weblogic.webservice.security.clock.precision" we can set in client side, but in weblogic 10.3 doc, we can't find these properties.
    now how to set the clock skew at stand-alone client in weblogic 11g?
    Thanks!
    Fred

  • How  to restore clock in locked screen?

    how to restore the clocked while your iphone is locked? for some reason mine changed to the safari play menu

    No it isn't. There are no settings for that information.

  • How to set clock on switch? (hardware calendar)

    hi mates :)
    anybody knows if I can set the clock based on hardware calendar? Please see how I tried and failed:
    switch#show clock detail
    *05:00:29.823 UTC Sat Jun 17 2006
    Time source is hardware calendar.
    unfortunately the time switch shows is wrong (One hour faster). I tried to fix it with the command:
    switch#clock set 04:00:00 17 Jun 2006
    switch#copy running-config startup-config
    switch#show clock
    04:01:01.507 UTC Sat Jun 17 2006
    Time source is user configuration.
    it worked until I re-started the switch. After the re-start, it showed the wrong time again (One hour faster again).
    Is there any way to fix it and get the correct time even after re-start th switch?
    thanks for help :)

    I will agree that the best way to set the clock is via NTP. However, it is desireable and possible to update the hardware clock (when there is one). If there is a network failure, and your router or switch reboots, you will still want your time rightly set.
    Use the command
    clock update-calendar
    to set the hardware clock from the software clock.
    Check
    http://www.cisco.com/en/US/products/sw/iosswrel/ps5187/products_command_reference_chapter09186a008017d031.html#wp1068428
    Use the command
    ntp update-calendar
    to periodically update the hardware clock from ntp
    Check http://www.cisco.com/en/US/products/sw/iosswrel/ps5187/products_command_reference_chapter09186a008017d034.html#wp1060033
    Use the command
    calendar set
    to manually set the hardware clock
    Check http://www.cisco.com/en/US/products/sw/iosswrel/ps5187/products_command_reference_chapter09186a008017d030.html#wp1031609
    Note that not all Cisco routers and switches have hardware clock that keeps time.

  • How to hide clock in iBooks?

    When I read a book, I don't have a little clock at the top of each page showing me the time -- and I don't want that in iBooks, either. Apart from jailbreaking and the judicious application of masking tape, is there any legal way to hide the clock?
    Other than that, iBooks is Nearly Perfect (tm).

    Hi, MacGuy90:
    I have two reasons for wanting to hide the clock. First, everybody, hopefully, has had that wonderful experience of being lost in a book: but that's harder to achieve if you have a clock glaring down at you from the top of the screen. Secondly, I suffer from insomnia, and if I know how much sleep I've missed, I will be much worse off in the morning.
    Note that Mac OS X allows you to hide the time, so why shouldn't iOS 4?

  • How to Change Clock Color on iPhone Lock Screen?

    I think this is possible but not sure but if it is, don't know how.
    I'd like to change the color of the numbers/text for the clock that appears on the lock screen of my iPhone 5 with iOS 7.  The white numbers and text don't show up very well with the image I have on the lock screen.
    I googled it and found a couple answers but they didn't seem to work for me.  Does anyone have a way to do this?
    Thanks

    No, you cannot change the color of the clock.

  • How to implement clock multiplier in vhdl

    Hi all,
         I want to mulitply the clock.  Actually i am using 50Mhz clock frequency, i want to make it as 100Mhz clock frequency.
    Without PLL,DCM, is there any other posibility to implement that using vhdl programing logic??
         I know that, how to divide the clock e.g   80Mhz clock divide to make 40Mhz clock frequency
        if(rising_edge(I_CLK_80MHZ))then
              CLK_40MHZ <=  not CLK_40MHZ;
        end if;
    like that, is there any other posibility to make clock multiplier using vhdl logic??
    Thanks and regards,
    M.Subash

    pvenugo wrote:
    Hi,
    Try this example
    library ieee;
    use ieee.std_logic_1164.all;
    entity F2 is
    port (fi : in std_logic; -- Input signal fi
    f0 : out std_logic); -- fo = 2*fi
    end F2;
    architecture behav of F2 is
    signal q,clk:std_logic;
    begin
    process(fi)
    begin
    if rising_edge(clk) then
    q<= not q;
    end if;
    clk <= not (q xor fi);
    f0 <= clk;
    end process;
    end behav;
    Regards
    Praveen
    I think your clocked process should be triggered by rising edge of "fi" not rising edge of clk.
    I assume the idea is to use the clock to Q (plus routing) delay to generate a delayed input clock signal.  As written, I don't see how the process would ever trigger (what makes "clk" have a rising edge in the first place).  How about something like:
    library ieee;
    use ieee.std_logic_1164.all;
    entity CLK_MULT2 is
    port
            clk_in  : in std_logic; -- Input clock signal at 1/2 desired frequency
            clk_out : out std_logic -- Output clock at desired frequency
    end CLK_MULT2;
    architecture behav of CLK_MULT2 is
    signal q_rise : std_logic := '0';  -- Clock --> Q Delay after rising edge of clock
    signal q_fall : std_logic := '0';  -- Clock --> Q Delay after falling edge of clock
    signal clk_dly : std_logic; -- Reconstructed delayed clock
    begin
    -- 1 ns delays for simulation to model clock to Q and LUT delays as unit delays
    -- q_rise toggles at each input clock rising edge
    rising: process(clk_in)
    begin
      if rising_edge(clk_in) then
        q_rise <= not q_rise after 1 ns;
      end if;
    end process;
    -- q_fall switches to match q_rise at each input clock fallin edge
    falling: process(clk_in)
    begin
      if falling_edge(clk_in) then
        q_fall <= q_rise after 1 ns;
      end if;
    end process;
    -- XOR of q_rise and q_fall reconstructs the input clock after some delay
    clk_dly <= q_fall XOR q_rise after 1 ns;
    -- XOR of input clock and delayed clock pulses at twice the input frequency
    clk_out <= clk_in XOR clk_dly after 1 ns;
    end behav;

  • How to hide clock alarms in calendar

    With my daily Wake Up alarm, I have five different daily alarms set in the Clock app on my much loved Passport.  Those five daily alarms appear automatically in my Calendar app every day and clutter up all of my Calendar views (daily, weekly, monthly and agenda).
    Is there any way to hide these repetitive daily alarms from appearing in Calendar.  I am on a regular medicine schedule and the daily alarms are essential for my health.  At the same time, I use my Calendar to keep track of events, court dates, filing deadlines and other date and time related matters that are essential to my professional life.
    Is there a solution or work around in BB 10 for this problem? If not, are there any third party apps that would help or does Blackberry have this on its agenda for future updates?
    Many thanks for your assistance!!
    Solved!
    Go to Solution.

    Thank you John for the information.
    It does seem as though this would be a fairly common concern for BB 10 users.  Blackberry targets those who make heavy use of their Blackberry to organize their busy and complex lives.
    It is a major inconvenience to have one's calendar clogged up with repetitive alarm clock entries when all you want is to have the alarm clock ring/buzz at the same time every day and have your calendar show events/apointments/to do items.
    Is there any way to set repetitive alarms using apps other than the Clock and Calendar apps?

  • How to reposition  clock in lock screen

    Is it possible to reposition the clock when the phone is in the lock screen?

    No it isn't. There are no settings for that information.

  • How to show clock or hourglass image in Dailogbox on some Action

    Hi All,
    i have a requirement to show  loading wheel or  clock or hourglass image as soon as i click on search button in the lookup.i.e in DailogbOx
    I am trying to show GIF image of loading wheel.
    I tried mutiple ways like::
    1)
    var oMyTable = new sap.ui.table.Table();
    oMyTable.setBusyIndicatorDelay(0);
    oMyTable.setBusy(true); //open busy indicator
    oMyTable.setBusy(false); //close busy indicator
    2)sap.ui.core.BusyIndicator.show()
    sap.ui.core.BusyIndicator.hide()
    3)sap.m.BusyDialog.open()
    sap.m.BusyDialog.close()
    4)
    attachRequestSent(function(){
    //          //  sap.ui.core.BusyIndicator.show();
    // oControl.oResultTable.setBusy(true);
    //.attachRequestCompleted(function(){
    //          //sap.ui.core.BusyIndicator.hide();
    // oControl.oResultTable.setBusy(false);
    These are working only after we get data in the table.But i want to show the loading wheel image as soon as i click on serach.i.e before the data gets populated in the lookup table.
    The above sample codes are placed properly .Is there something because we are showing lookup in a  DialogBox  and there is some background screen.
    Please advice
    PFA screenshot

    Also I tried using below code
    <div id="prgBarDiv" style="display:none; background: white; border:2px solid white; border-radius:7px; -moz-border-radius:7px; position: fixed; top: 0px; left: 0px; z-index: 10; width:100%; height: 100%; opacity:0.8; filter:alpha(opacity=80);">
    <div style="position:absolute; top: 40%; left: 30%;">
    <p:progressBar id="progressBar" widgetVar="prgbar" labelTemplate="Loading..." styleClass="animated" style="width: 300px; margin-top: 10px;"></p:progressBar>
    <br/><img src='ajax-loader.gif'>
    </div>
    </div>
    For Showing::
    jQuery("#prgBarDiv").css("display","inline");
    For Hiding::
    jQuery("#prgBarDiv").css("display","none");
    Gif Image is not coming in the dailog but it is coming on the main screen.
    Please advice.
    Regards,
    Satya

Maybe you are looking for

  • Safari 5.1.2 not updating on SUS (Software Update Server) (Lion Server 10.7)

    If you see the screenshot attached, you can see that the Software Update Server has downloaded Safari 5.1.2 However, the clients connecting to this software update server have Safari 5.1.1 and when I check for udpates it does not detect that the upda

  • Not able to deploy HPCM application

    Hi Gurus, we are using version 11.1.2.2 and we have created an application in HPCM and when we validate the same it shows successful but when we try to deploy it it throws below error Process Name : Thread : 0 Server : Detail : Initiating Product Act

  • Writing lead-out never finishes

    Weird problem. Project burns correctly, but iDVD 5 will sit on "Writing lead-out" forever. The first time this happened, I forced-quit iDVD 5, but could not eject the disk. I waited about 30 minutes before I forced-quit, then rebooted the system. I w

  • Tak and surf at the same time

    For those wondering, it can be done while on wifi, I just tried it out.

  • Getting rid of iCloud sync for music

    I don't want to turn this into a rant, but I honestly hate iCloud at this point.  It seems like I am no longer able to go through the simple process of 1. purchasing a song/file in the iTunes store, then 2. transferring said file onto my device of ch