How to use netlist of verilog hdl code in hdl interface node

Hello,
     In labview 8.6, hdl node doesnot support verilog. I studied that netlist can be used in hdl interface node. How can I use netlist of verilog hdl code, in hdl interface node.
Please clarify
Thanks
Prashanth

Check out the given link. Similar problem is discussed over there.
http://forums.ni.com/ni/board/message?board.id=170&message.id=253724&requireLogin=False

Similar Messages

  • How to use protected method in jsp code

    Could anyone tell me how to use protected method in jsp code ...
    I declare a Calendar class , and I want to use the isTimeSet method ,
    But if I write the code as follows ..
    ========================================================
    <%
    Calendar create_date = Calendar.getInstance();
    if (create_date.isTimeSet) System.out.println("true");
    %>
    ============================================================
    when I run this jsp , it appears the error wirtten "isTimeSet has protected access in java.util.Calendar"

    The only way to access a protected variable is to subclass.
    MyCalendar extends Calendar
    but I doubt you need to do this. If you only want to tell if a Calendar object has a time associated with it, try using
    cal.isSet( Calendar.HOUR );

  • How to use parrallel cursor in this code

    hi can anyone expalin me how to use parallel cursor for this code ... 
    loop at itabkpf.
          read table ibsegpar_fu with key
                     belnr = itabkpf-belnr
                     gjahr = itabkpf-gjahr
                     bschl = '25' .
    Start insert by Pradeep 20082008
          sort: itabkpf by belnr,
                itabsegpar by belnr.
    End insert by Pradeep 20082008
          if sy-subrc = 0. "Deleted by pradeep 20082008
            select bukrs belnr gjahr bschl koart lifnr wrbtr
                   wskto pswbt zfbdt augbl augdt sgtxt rebzg rebzj
                   into corresponding
                   fields of table ibsegpar_fu_1 from bseg
                   where bukrs = itabkpf-bukrs and
                   belnr = itabkpf-belnr and
                   gjahr = itabkpf-gjahr and
                   augbl <> itabkpf-belnr and
                   rebzg <> space and
                   bschl = '25'.
            loop at ibsegpar_fu_1.
    Start insert by Pradeep 20082008
              sort ibsegpar_fu_1 by belnr.
    End insert by Pradeep 20082008
              move-corresponding ibsegpar_fu_1 to itabseg.
              move ibsegpar_fu_1-belnr to itabseg-augbl.
              move ibsegpar_fu_1-rebzg to itabseg-belnr.
              move ibsegpar_fu_1-rebzj to itabseg-gjahr.
    *********to include code to pick the description for partical payment
              select single * from bseg where
                  bukrs = ibsegpar_fu_1-bukrs and
                  belnr = ibsegpar_fu_1-belnr and
                  gjahr = ibsegpar_fu_1-gjahr and
                  augbl <> ibsegpar_fu_1-belnr and
                  rebzg = space and
                  bschl <> '25'.
              move bseg-sgtxt to itabseg-sgtxt.
              clear bseg.
    *********end of code
              itabseg-wrbtr = itabseg-wrbtr * -1.
              itabseg-pswbt = itabseg-pswbt * -1.
              select single budat into lsdat from
                   bkpf where bukrs = ibsegpar_fu_1-bukrs and
                   belnr = ibsegpar_fu_1-rebzg and
                   gjahr = ibsegpar_fu_1-rebzj.
              if sy-subrc = 0.
                move lsdat to itabseg-zfbdt.
              endif.
              append itabseg.
            endloop.
          endif. "Deleted by Pradeep 20082008
        endloop.
        loop at ibsegpar_fu.
          sort ibsegpar_fu by belnr. " insert by pradeep
          move-corresponding ibsegpar_fu to itabseg.
          select bukrs belnr gjahr bschl koart lifnr wrbtr
                 rebzg rebzj sgtxt into corresponding
                 fields of table ibsegpar_fu_1 from bseg
                 where  bukrs = ibsegpar_fu-bukrs and
                 gjahr = ibsegpar_fu-gjahr and
                 augbl = ibsegpar_fu-belnr and  " Pradeep 20082008 Delete
                augbl <> ibsegpar_fu-belnr and " Pradeep 20082008 Insert
                 rebzg = space and
                 bschl = '25'.
          if sy-subrc = 0.
            loop at ibsegpar_fu_1.
              move ibsegpar_fu_1-rebzg to itabseg-rebzg.
              move ibsegpar_fu_1-rebzj to itabseg-rebzj.
            endloop.
            move ibsegpar_fu-belnr to itabseg-augbl.
            move itabseg-rebzg to itabseg-belnr.
            move itabseg-rebzj to itabseg-gjahr.
            itabseg-wrbtr = itabseg-wrbtr * -1.
            itabseg-pswbt = itabseg-pswbt * -1.
            select single budat into lsdat from
                 bkpf where bukrs = ibsegpar_fu-bukrs and
                 belnr = itabseg-rebzj and
                 gjahr = itabseg-rebzj.
            if sy-subrc = 0.
              move lsdat to itabseg-zfbdt.
            endif.
            append itabseg.
            read table itabseg with key
                 belnr = itabseg-rebzg
                 gjahr = itabseg-rebzj
                 bschl = '31' .
            if sy-subrc = 0.
             delete itabseg index sy-tabix. "Deleted by Pradeep 20082108
            endif.
          endif.
        endloop.
    Start insert by Pradeep 20082008
         loop at itabseg.
          if itabseg-belnr = itabseg-augbl.
            delete itabseg.
          elseif itabseg-belnr = ' '.
            delete itabseg.
          endif.
        endloop.
    End insert by Pradeep 20082008

    hi can anyone expalin me how to use parallel cursor for this code ... 
    loop at itabkpf.
          read table ibsegpar_fu with key
                     belnr = itabkpf-belnr
                     gjahr = itabkpf-gjahr
                     bschl = '25' .
    Start insert by Pradeep 20082008
          sort: itabkpf by belnr,
                itabsegpar by belnr.
    End insert by Pradeep 20082008
          if sy-subrc = 0. "Deleted by pradeep 20082008
            select bukrs belnr gjahr bschl koart lifnr wrbtr
                   wskto pswbt zfbdt augbl augdt sgtxt rebzg rebzj
                   into corresponding
                   fields of table ibsegpar_fu_1 from bseg
                   where bukrs = itabkpf-bukrs and
                   belnr = itabkpf-belnr and
                   gjahr = itabkpf-gjahr and
                   augbl <> itabkpf-belnr and
                   rebzg <> space and
                   bschl = '25'.
            loop at ibsegpar_fu_1.
    Start insert by Pradeep 20082008
              sort ibsegpar_fu_1 by belnr.
    End insert by Pradeep 20082008
              move-corresponding ibsegpar_fu_1 to itabseg.
              move ibsegpar_fu_1-belnr to itabseg-augbl.
              move ibsegpar_fu_1-rebzg to itabseg-belnr.
              move ibsegpar_fu_1-rebzj to itabseg-gjahr.
    *********to include code to pick the description for partical payment
              select single * from bseg where
                  bukrs = ibsegpar_fu_1-bukrs and
                  belnr = ibsegpar_fu_1-belnr and
                  gjahr = ibsegpar_fu_1-gjahr and
                  augbl <> ibsegpar_fu_1-belnr and
                  rebzg = space and
                  bschl <> '25'.
              move bseg-sgtxt to itabseg-sgtxt.
              clear bseg.
    *********end of code
              itabseg-wrbtr = itabseg-wrbtr * -1.
              itabseg-pswbt = itabseg-pswbt * -1.
              select single budat into lsdat from
                   bkpf where bukrs = ibsegpar_fu_1-bukrs and
                   belnr = ibsegpar_fu_1-rebzg and
                   gjahr = ibsegpar_fu_1-rebzj.
              if sy-subrc = 0.
                move lsdat to itabseg-zfbdt.
              endif.
              append itabseg.
            endloop.
          endif. "Deleted by Pradeep 20082008
        endloop.
        loop at ibsegpar_fu.
          sort ibsegpar_fu by belnr. " insert by pradeep
          move-corresponding ibsegpar_fu to itabseg.
          select bukrs belnr gjahr bschl koart lifnr wrbtr
                 rebzg rebzj sgtxt into corresponding
                 fields of table ibsegpar_fu_1 from bseg
                 where  bukrs = ibsegpar_fu-bukrs and
                 gjahr = ibsegpar_fu-gjahr and
                 augbl = ibsegpar_fu-belnr and  " Pradeep 20082008 Delete
                augbl <> ibsegpar_fu-belnr and " Pradeep 20082008 Insert
                 rebzg = space and
                 bschl = '25'.
          if sy-subrc = 0.
            loop at ibsegpar_fu_1.
              move ibsegpar_fu_1-rebzg to itabseg-rebzg.
              move ibsegpar_fu_1-rebzj to itabseg-rebzj.
            endloop.
            move ibsegpar_fu-belnr to itabseg-augbl.
            move itabseg-rebzg to itabseg-belnr.
            move itabseg-rebzj to itabseg-gjahr.
            itabseg-wrbtr = itabseg-wrbtr * -1.
            itabseg-pswbt = itabseg-pswbt * -1.
            select single budat into lsdat from
                 bkpf where bukrs = ibsegpar_fu-bukrs and
                 belnr = itabseg-rebzj and
                 gjahr = itabseg-rebzj.
            if sy-subrc = 0.
              move lsdat to itabseg-zfbdt.
            endif.
            append itabseg.
            read table itabseg with key
                 belnr = itabseg-rebzg
                 gjahr = itabseg-rebzj
                 bschl = '31' .
            if sy-subrc = 0.
             delete itabseg index sy-tabix. "Deleted by Pradeep 20082108
            endif.
          endif.
        endloop.
    Start insert by Pradeep 20082008
         loop at itabseg.
          if itabseg-belnr = itabseg-augbl.
            delete itabseg.
          elseif itabseg-belnr = ' '.
            delete itabseg.
          endif.
        endloop.
    End insert by Pradeep 20082008

  • HDL Interface Node (UsingFilterCore.vi) and "timing constraint" error when compiling

    I'm trying to use the HDL interface node in LV8 FPGA with a PCI-5640R and had the "timing constraint" error when compiling my VI, however, the same VI was successfully compiled on a CRIO-9104, it seems the FPGA on PCI-5640R is not good as the one on CRIO-9104, or I'm not using it right. could you please kindly help me out?
    I tested it with the sample code downloaded from NI website
    ( http://zone.ni.com/devzone/conceptd.nsf/webmain/456722DDDE17986A86256E7B0065EE6F ) which demonstrates using an IP core for a filter. To simplify it, I only keep the HDL Interface Node and the While Loop (see "UsingFilterCore.vi" in attached zip file), and then I created 2 projects including this VI (1 for CRIO-9104, in sub folder "CRIO-9104", the other for PCI-5640R, in sub folder "IFRIO 5640"). When opening the 2 projects separately in LV8.0 and selecting the VI for compile, the one for 9104 passed and the other failed. Here I attach the source code, error message screenshot and the NIReport from MAX, hope you can reduplicate the problem.
    Can you help me out? Thanks very much !
    Message Edited by Jerry_L on 03-26-2006 09:28 PM
    Message Edited by Jerry_L on 03-26-2006 09:29 PM

    Hi Jerry,
    I'm just tried to make all these steps by myself (http://zone.ni.com/devzone/cda/tut/p/id/3516). I have generated FIR filter using Xilinx ISE and got *.VHD file which was going to use in HDL Node.
    In the Parameters tab of the HDL Interface Node configuration dialog, double-click in the Names column to add parameters. Create parameters as shown below.
    Next, switch to the Code tab. Notice that your parameters now appear in the entity section. To complete the next two sections of code, you will need to refer to the filt.vhd file that you generated earlier and interface the filter core to the LabVIEW FPGA execution system.
    1. The first problem I met was integrating VHDL code from earlier generated *.VHD file to CODE tab in properties of HDL Node. Content of entity section in *.VHD is not the same that in your attached file. Please check it in attached files. I'm sure this is the main reasen of problem.
    Next, switch to the External Files tab. Click the Add File button and select the filt.edn file that you created earlier. This is the EDIF netlist file that you generated earlier.
    2. I have no idea where can I get it and when during filter generation using Xilinx ISE it was generated too. How can I get it? I had to use your attached file filt.edn.
    3. After that I have made the same schematics like you have in your VI FPGA and try to run. But I've got two error messages:
    HDL Interfave node: enable chain not handled. Details: Refer to the documentation for the correct assignments for the enable_out output from your HDL code.
    HDL Interfave node: output not handled. Details: Right-click the node, select Configure to open the Configure HDL Interface Node dialog box, and use the Code tab to handle all output parameters. 
    Actually I need to model FIR filter:
    Bandwidth 200-600 Hz
    Sampling 8 KHz
    Attenuation 80 dB
    That's why I tried to follow all these steps by myself to understand how does it work.
    Thanks a lot.
    Nikita
    Attachments:
    Filter1.vi ‏16 KB

  • Unable to find HDL Interface Node

    I have MyRio and LabView 2014 with all the modules installed and updated. 
    Xilinx 2014 is also installed and I can compile for FPGA.
    However in block diagram I can't find HDL interface Node for importing VHDL code. As you see in the second screenshot. 
    How may I solve this issue?
    Solved!
    Go to Solution.

    You mean that IP Integration node?
    There are only two ways to tell somebody thanks: Kudos and Marked Solutions
    Unofficial Forum Rules and Guidelines

  • Effeciency of HDL Interface Node compared to IP Net

    Hi,
        If I use HDL Interface Node for VHDL code in FPGA VI, Will it be more efficient than IPs which are available at http://www.ni.com/ipnet/ ?
    Kindly guide me.
    Thanks and Regards,
    Rashid
    Solved!
    Go to Solution.

    Hello Rashid,
    IP on IPNet will be written using CLIP which is much better for implementing VHDL into your LabVIEW FPGA code.  The following document discusses some of the differences between the two.  
    http://digital.ni.com/public.nsf/allkb/ce502221933fcceb8625750000011fb5?OpenDocument
    Also, something to keep in mind, as of LabVIEW 2010 the HDL node will be deprecated so for future compatibility, you are better going the CLIP route.
    David_L | Certified LabVIEW Architect
    LabVIEW Tools Network | LabVIEW Tools Network Developer Center

  • How to Use Exec function in Java Code

    How to Use Exec method
    I want to Execute command
    net Start "some service"
    using exec method of runtime class
    or i use some other way if suggest

    Assuming you have read http://java.sun.com/j2se/1.4.2/docs/api/java/lang/Runtime.html#exec(java.lang.String) already, I can only suggest to be more specific in what your problems are. If you are after just some example code, then download ftp://ftp.ebi.ac.uk/pub/software/textmining/monq/monq.tar.gz and have a look at the source code of monq.stuff.Exec. It does all those things which are necessary to keep track of a Process after it was created with exec.
    Harald.
    BioMed Information Extraction: http://www.ebi.ac.uk/Rebholz-srv/whatizit

  • How to use JDK5.0 new feature code in tomcat 5.5.9, jsp files

    Hi Everyone:
    I am trying to use JDK5.0 new feature code -- for loop in jsp file , but got compile error , i try the same code in the java file which is working fine. i am wondering is it tomcat jsp compiler problem ?
    following is my code and error msg
    /* jdk 5.0 new feature, but cannot use in this jsp file, tomcat version? or setting problem ?
    for (Thumbnail thumbnail : thumbnailList){
         System.out.println(thumbnail.getAbsolutePath());
    // following is working fine in jsp
    Iterator iter = thumbnailList.iterator();
              while(iter.hasNext()){
                   Thumbnail thumbnail = (Thumbnail) iter.next();
                   out.print(thumbnail.getAbsolutePath()+"<BR>");
         %>
    the error msg is :
    HTTP Status 500 -
    type Exception report
    message
    description The server encountered an internal error () that prevented it from fulfilling this request.
    exception
    org.apache.jasper.JasperException: Unable to compile class for JSP
    An error occurred at line: 16 in the jsp file: /test/testImageGallery.jsp
    Generated servlet error:
    Syntax error on token(s), misplaced construct(s)
    An error occurred at line: 16 in the jsp file: /test/testImageGallery.jsp
    Generated servlet error:
    Syntax error on token ")", : expected
         org.apache.jasper.compiler.DefaultErrorHandler.javacError(DefaultErrorHandler.java:84)
         org.apache.jasper.compiler.ErrorDispatcher.javacError(ErrorDispatcher.java:328)
         org.apache.jasper.compiler.JDTCompiler.generateClass(JDTCompiler.java:397)
         org.apache.jasper.compiler.Compiler.compile(Compiler.java:288)
         org.apache.jasper.compiler.Compiler.compile(Compiler.java:267)
         org.apache.jasper.compiler.Compiler.compile(Compiler.java:255)
         org.apache.jasper.JspCompilationContext.compile(JspCompilationContext.java:556)
         org.apache.jasper.servlet.JspServletWrapper.service(JspServletWrapper.java:293)
         org.apache.jasper.servlet.JspServlet.serviceJspFile(JspServlet.java:291)
         org.apache.jasper.servlet.JspServlet.service(JspServlet.java:241)
         javax.servlet.http.HttpServlet.service(HttpServlet.java:802)
    I am using fedora core 4 x64bit , tomcat 5.5.9,
    java -version
    java version "1.5.0_04"
    Java(TM) 2 Runtime Environment, Standard Edition (build 1.5.0_04-b05)
    Java HotSpot(TM) 64-Bit Server VM (build 1.5.0_04-b05, mixed mode)
    tomcat settings
    tomcat5/bin/catalina.sh run
    Using CATALINA_BASE: /home/normal/tomcat5
    Using CATALINA_HOME: /home/normal/tomcat5
    Using CATALINA_TMPDIR: /home/normal/tomcat5/temp
    Using JRE_HOME: /home/normal/jdk1.5.0_04

    The JDT compiler that Tomcat installs by default is only 1.4 compliant.
    You can use ant instead:
    remove the common/lib/jasper-compiler-jdt.jar file
    place ant.jar file from the latest Ant distribution in the common/lib folder.
    If you do this, you also need to use the "javac" argument to catalina.sh.
    Documentation reference: http://jakarta.apache.org/tomcat/tomcat-5.5-doc/jasper-howto.html

  • How to use enviroment variables in JSP code?

    I�m developing a web server with JSP�s, and I need to move the application to others computers. I need to access to a directory, but I don�t know it because the user can install the Application in the directory he wants. So I need to access to that directory in the JSP code.
    The directory is "C:\Program Files\....\tomcat\webapps\ROOT\upload". I have the CATALINA_HOME enviroment variable defiened as "C:\Program Files\....\tomcat\", so I need to use something like "CATALINAHOME\\webapps\upload\", but I don�t know how to mekr the JSP code to understand the enviroment variable CATALINA_HOME.
    I�ve tried with %CATALINA_HOME%, but the Tomcat server doesn�t recognize it. How can I access to that directory?
    Thanks (Sorry about my english)

    My JSP�s are in: %CATALINA_HOME%\webapps\ROOT\
    I wan�t to access to %CATALINA_HOME%\webapps\ROOT\upload\
    My JSP code:
    <% ...
    String DPATH = "C:\\Program Files\\JBuilder7\\jakarta-tomcat-4.0.3\\webapps\\ROOT\\upload\\";
    File newfile = null;
    newfile = new File(DPATH+filename);
    %>
    I want the JSP to locate the directory \webapps\ROOT\upload\ without knowing the complete route "c:\Program Files\...", because I have the enviroment variable CATALINA_HOME with the value "C:\Program Files\JBuilder7\jakarta-tomcat-4.0.3\". SO the user of the aplication only has to set the CATALINA_HOME variable and the aplication should access the upload directory throught the CATALINA_HOME variable.
    I can�t explain it better. Sorry.

  • I am using NetbeansIDE 5.5.1 In this, How to use java methods in xsl code ?

    Hi everybody,
    I am using Netbeans IDE 5.5.1, In that i am trying to call java methods in XSL code?
    Can anyone help me to provide the solution for this ????
    Note:
    I wrote simple java class method to change the case of given input string.
    Also i compiled that class.
    Now i need to know, where do i put that compiled class file or the source java file, to run my xsl code......
    Please reply soon..
    Thanks in advance....
    regards,
    Selva

    Jim, that sounds like a good hypothesis. Yes, I am using smart previews. I have my originals on an external drive which is connected. Still, LR can not find them. Do you know how to tell LR where to find the originals? I would like LR to identify the source automatically: if external drive is connected LR should show "original and smart preview" not only "smart preview". Thanks for your help.

  • How to use Oracle objects in java code

    Hi all!
    I'm reading an xls and i need to fill me oracle objects with java code:
    OBJECT_NAME OBJECT_TYPE
    LETTURA_OBJ TYPE
    LETTURA_OBJ TYPE BODY
    In the past weeks i've been using both java code into oracle and oracle objects, but new i need to write those objects with data i read with java, anybody can help me?
    I know that the easiest work around would be to put the data i read from the excel file into a table and then fill the oracle objects, but now i want to learn how to write directly those objects with a command like the following one:
    a sample of the code i'm tryng to write:
    CREATE OR REPLACE AND RESOLVE JAVA SOURCE NAMED REPORT."Manage_Excel_ASMBS" AS
    import java.io.*;
    import java.io.IOException;
    import jxl.*;
    public cass ....
    #sql{  variabili_globali.var_ER_F3.Tipo_Lettura := 5}
    thanks,
    Massimo
    Edited by: LinoPisto on 16-mag-2011 16.38

    mmmh i'm not understanding so much....
    well... as i told before i'm working in oracle database environment and i'm developing a java procedure.
    now, i have this object
    CREATE OR REPLACE
    TYPE REPORT.FATTURA_OBJ AS OBJECT (
    POD VARCHAR2(1000),
    ID_FATTURA NUMBER,
    ID_FILE NUMBER,
    COERENZA_EA_F VARCHAR2(1000),
    COERENZA_ER_F VARCHAR2(1000),
    COERENZA_EA_M VARCHAR2(1000),
    COERENZA_EF_M VARCHAR2(1000),
    ANOMALIA VARCHAR2(1000),
    MOTIVO_INVALIDAZIONE VARCHAR2(1000),
    MATRICOLA_CONTATORE VARCHAR2(1000),
    POTENZA_DISPONIBILE VARCHAR2(1000),
    MEMBER PROCEDURE pulisci
    /and i need to work with it inside this procedure:
    CREATE OR REPLACE AND RESOLVE JAVA SOURCE NAMED REPORT."Manage_Excel_ASMBS" AS
    import java.io.*;
    import java.io.IOException;
    import java.io.StringWriter;
    public class Manage_Excel_ASMBS
    public static void read_Excel(String inputFile,int var_Id_Caricamento, int var_Id_Distributore, String var_Distributore) throws SQLException, IOException
              **here i need to put what i'm reading inside the excel file into oracle objects**
    /can you please give me a sample ?
    thanks

  • How to use Xerces parser in my code

    Hello all,
    This is my first time in the forumn. I am a beginer to xml. I am trying to use xerces SAX parser to parse the xml document. I have downloaded xerces 2.6.2 in the c drive. Can you please guide me how can I use it in my piece of code and how to execute. I tried using the parser available on my system. This code works fine. But I am confused with how can modify my code to parse using Xerces parser.
    Help appreciated!
    import javax.xml.parsers.SAXParserFactory;//This class creates instances of SAX parser factory
    import javax.xml.parsers.SAXParser;//factory returns the SAXParser
    import javax.xml.parsers.ParserConfigurationException;//if the parser configuration does not match throws exception
    /*2 statements can be substituted by import org.xml.sax.*;
         This has interfaces we use for SAX Parsers.
         Has Interfaces that are used for SAX Parser.
    import org.xml.sax.XMLReader;
    import org.xml.sax.SAXException;
    import java.io.*;
    import java.util.*;
    public class CallSAX_18 {
         public static void main (String []args)
                   throws SAXException,
                   ParserConfigurationException
                   IOException {
         /*     3 statement below can be substitued by this below 1 statement when using other parser
    XMLReader xmlReader = XMLReaderFactory.createXMLReader("org.apache.xerces.parsers.SAXParser");
              SAXParserFactory factory = SAXParserFactory.newInstance();
              SAXParser saxParser = factory.newSAXParser();
              XMLReader xmlReader = saxParser.getXMLReader();
              /*/MyContentHandler has callback methods that the SAX parser will use.This class implements startElement() endElement() etc methods.
              DBContentHandler dbcontent = new DBContentHandler();
              xmlReader.setContentHandler(dbcontent);
              xmlReader.parse(new File(args[0]).toURL().toString());

    Well, I am not too familiar with SAX, but I know my DOMs 8-)
    is there a reason you are using SAX to parse versus DOM?
    what is your final objective that you want to accomplish? Perhaps
    DOM might be better? Are you trying to parsing XML into nodes?

  • How to use the google play RSA code on a Mac?

    Hi,
    I´ve just finished my first Android App using Flash CC and I´d like to upload it to the Google Play Store.
    Now Google says there is a RSA-Key Code I have to use ... somehow.
    Can anyone tell me what I´ve got to do with it? And please explain it for Dummies
    I´ve been searching for some hours now, but I didn´t find anything helpful / anything I could work with. Most Tutorials and Instructions are for FlashBuilder or PC users. Nothing I could use or even understand
    I´m working on a Mac using Flash CC - so I´m stuck right now.
    So please - HELP ME!
    Best regards
    Jan

    Hi Pahup,
    Thank you for your reply!!
    I adapted an Android App using Flash CC on a Mac. I already published this App for the Apple App Store. When I published the App for Android I chose Air 3.7.xxx for Android. I also created the p12 certificate using Flash CC in the publishing settigns for Android. So my App is theoretically finished and ready for Upload.
    When I went to the Google Play Store Developer Console I had the options to
    1.) Upload APK
    or
    2.) Prepare Store Entry
    ...so I prepared the Store entry first. When I go to "APK"-Tab in the console it looks like this:
    ... it says "Upload APK" and the Button below "Get Licence Key". When I click on the license Key Button it looks like this:
    So I think I should enter this RSA-Key somehow into my App BEFORE uploading it to the Google Play Store. This is recommended when an Ap is set to be paid. My Application is set to paid.
    I did not use any kind of licensing API (until now - cause I don´t know how and what it is for)
    This is all new to me. It is the first App I want to bringt to the Android market. I really hoped it would be as easy as getting an app into the Apple App Store. I found so many step by step instructions for dummies on "How to get an Flash iOS App into the App Store plus creating all the certificates needed", so I thought it would be the same for Android. But there is no help at all at the moment. I am totally confused.
    So any help, hint or instrusction would be great!!
    By the way - this is the App I want to get into the Google Play Store

  • How to use LabVIEW in a multiple coder environment?

    The subject basically says it all.  This thought hit me the other day, now that we will be two persons (and eventually perhaps more) coding on a source code written only by me so far.  In my job, we commit and update source code to a SVN repository by using TortoiseSVN.  This works very well with C/C++, since the source code files are in plain text and will be merged by TortoiseSVN.  But since VI files are binary, I gather that this will not be possible.  I tried comparing two different revisions of my own commited code, and this didn't work.  How is this particular problem solved in other multideveloper environments?

    Source code control merging and all of that stuff is secondary to the design itself. I have had a half-dozen developers working on the same project but different "sand boxes" and yes we managed to get 6 person months worth of work done in a month.
    Integration of tested components is when things get touchy but if done in a orderly manner, no suprises there either.
    Rules that have helped us;
    1) Use  Tree.VI  (or Root.vi , Catalog.vi) to hold all of the top level VIs. This will ensure we find out about possible integration issues when they happen.
    2) Never save broken code to SCC. It is all too easy to start ignoring a broken tree.
    3) Communicate with your team! let them know where you are going next.
    4) Assume your team mebers will mis-use your code and build in error checking to let them know what they did wrong.
    5) Never save a VI unless you can explain why you are saving it.
    Backup-up often.
    Ben
    Ben Rayner
    I am currently active on.. MainStream Preppers
    Rayner's Ridge is under construction

  • How to use different report viewers (in code)

    When I login to CMC, I can change my default view format to
    1. Web
    2. Web ActiveX
    3. Web Java
    Could I do the same in VS2005 code? If so I would like to see some sample codes.
    Any help is greatly appreciated.

    In code all you have is the equivalent of the Web viewer (DHTML).  To use any other viewer you would need to use Infoview, CMC, or URL reporting (opendocument.aspx).

Maybe you are looking for

  • Numbers saved as strings in excel using report generation

    I am using "Excel easy table.vi" to collect data from LabVIEW to excel. The problem is that the number array I read from LabVIEW, is stored as strings in excel. I want to save those as scientific numbers. I have tried using "set cell format.vi", but

  • Apache: error while loading shared libraries

    linux:/opt/tarantella/bin # ./tarantella security start - linux.site: Enabled secure connections. linux:/opt/tarantella/bin # linux:/opt/tarantella/bin # ./tarantella webserver restart --ssl Stopping Tomcat servlet container... Using CLASSPATH: /opt/

  • Firmware 1.20 vs 1.21 for x-fi2

    The x-fi2 device has a one touch change of the x-fi sound. Until? v.20, the highlight of X-fi touch makes the x-fi(crystalizer) effect. But in v.2, the highlight of X-fi display deactivates the x-fi sound and the sound become small, loose details. ?A

  • How do I establish my own library without screwing up my daughter's?

    Even though I logged in under my user name, and she uses another one, the first time I opened iTunes, her library popped up. I want to customize my own music without accidently permanently doing anything to hers. I may want to share some of her songs

  • Cannot enter numbers from num pad or keyboard

    Cannot enter numbers from the number pad or the keyboard.