Is FPGA module option absolutely needed to deploy a RIO system?

Is FPGA module option absolutely needed to deploy a RIO system? I do not believe that I need to customize any of my I/O or go beyond the vi's already available in LV development suite and Real-Time module option.

You don't need the LabVIEW FPGA Module to simply deploy an existing RIO configuration (bitfile). You can do that simply with LabVIEW, LabVIEW Real-Time, and the NI-RIO driver. You only need the FPGA module if you want to compile a new FPGA personality into a bitfile to do your IO. If you already have a bitfile that fits your needs, you don't need the FPGA module to deploy and run it.
For instance, the RIO driver probably installs a few simple examples that use interrupts and DMA to send data back to the host for various targets. It could be that one of these example bitfiles fits your needs if your requirements aren't too elaborate.
Just trying to clarify the issue here... And what I'm talking about has nothing to do with NIWeek or whatever everyone else is posting about.
Jarrod S.
National Instruments

Similar Messages

  • What fonts are absolutely needed by the 10.5 system? (and apps)

    I like to keep only 10-12 fonts active. I noticed that Leopard installed 60 or so fonts. The last time I pruned out a handful of fonts, Photoshop menus went funky.
    I had to piece back several at a time to find the one it wanted...
    Hoping there is some kind of list.
    Thanks,!

    Aloha, I'd like this info also. Since upgrading to Leopard when I ran validate all fonts, quite a few of my fonts say "problems found, use with caution". These are the same fonts that were on Tiger which never gave any indication of problems. Does anyone know if Leopard installed a lot of new or different fonts? I know fonts can cause problems on any OS, so this is a concern.

  • No option to simulate cRIO device after installing Labview and RT/FPGA Modules from downloads (missing driver support?)

    Hi guys--
    Basically, I need to simulate a cRIO device without yet having the hardware on hand, like this:
    How to Simulate FPGA Hardware Targets Using the Project Explorer with LabVIEW
    Instead, I only get the options shown in the attachment "Add Target Options.jpg".  I assume this is because of missing drivers, which I assume is due some mistake I made during a recent fresh install of LV.  The install went like this:  I downloaded (not disks) and installed the following (in this order, latest versions all around):
    (1) LabView Development System
    (2) Real-Time Module
    (3) FPGA Module
    (4) NI-RIO (install prompted by previous install)
    (5) DAQmx
    At the end of (1) and (2), I got the screen shown in the second attachment ("Drivers Install Message.jpg"), but could not get it to recognize the "NI Device Drivers" folder (which I also downloaded), or any of its sub-folders.
    I feel I'm missing some obvious option for loading up the necessary drivers after the install, but can't seem to find reference to it in the forums.  Could anyone give me a little push in the right direction?
    Thanks a bunch, and have a great day.
    Solved!
    Go to Solution.
    Attachments:
    Add Target Options.jpg ‏57 KB
    Drivers Install Message.jpg ‏47 KB

    Michael--
    Thanks for your reply.  In answer to your questions, the DAQmx install seemed to go smoothly, and I believe this is evidenced by available my MAX Simulated Device options (shown in the attachment to this post).  My best guess (could be wrong here) is that I cannot simulate a cRIO device because I was unable to install the drivers after the Real-Time Module installation (as described in my first post).
    The source path selection screen for drivers ("Drivers Install Message" attachment in first post) told me "...you can install NI device drivers later.", and since it would not recognize anything in the NI Device Drivers folder I downloaded, I clicked on "Later".
    I could narrow the issue down a bit if I could now install those drivers, but this is my first time installing without disks, and I can't figure out how to properly install the drivers from the folder I have sitting on my hard drive.  Have I overlooked instructions somewhere on this procedure?
    Thanks a bunch for your help.
    Attachments:
    MAX Device Simulation Options.jpg ‏52 KB

  • Can LabView FPGA module work with non-NI FPGAs?

    Simple Question: Can the LabView FPGA Module work with other FPGAs? Im assuming yes, but id like ot make sure first. Normaly I go with NI for most of my stuff, but they just are lacking in the FPGA hardware for what I need, but Im accustumed to LabView so I would like to stick with that to program it.
    Thanks!
    -Mark

    Using LabVIEW and LabVIEW RT you can access the registers on any PCI/PXI card using the NI-VISA driver. NI-VISA also allows you to handle interrupts and DMA data. For interrupts you will not actually write an interrupt service routine (ISR) in LabVIEW. Instead the VISA driver will acknowledge the interrupt to the card and then pass a VISA event to the LabVIEW application letting the application know that it needs to process the interrupt. Detailed information about this type of programming is available in the following documents.
    Using the VISA Driver Development Wizard and NI-VISA to Register-Level Program a PXI/PCI Device unde...
    Configuring LabVIEW Real-Time and NI-VISA to Recognize a Third Party Device
    Configuring LabVIEW Real...
    Developing a LabVIEW Real-Time Driver for a PXI or Compact PCI Device
    Porting a Windows PCI Device Driver to LabVIEW Real-Time
    Considerations in Implementing LabVIEW Real-Time Drivers
    Christian L
    Christian Loew, CLA
    Principal Systems Engineer, National Instruments
    Please tip your answer providers with kudos.
    Any attached Code is provided As Is. It has not been tested or validated as a product, for use in a deployed application or system,
    or for use in hazardous environments. You assume all risks for use of the Code and use of the Code is subject
    to the Sample Code License Terms which can be found at: http://ni.com/samplecodelicense

  • RT & FPGA Modules – I am missing a fundamenta​l concept

    Recently I have been asked to program a compactRIO 9074 and in the midst of researching how to do that, I have become thoroughly confused…
    I understand that the RT module is used for precise timing (i.e low jitter) and somehow it interfaces with the host computer (i.e. computer with the VI).  I also understand the FPGA module allows you to, and forgive the simplicity, recreate the circuit on the chip, thus allowing virtually true multithreading and really fast speeds.  Also, the FPGA interacts with the IO modules/ports.
    The thing that I am unclear about is:
    When you program the compactRIO 9074, do you select only one module (RT, FPGA) and ignore the other?
         -or-
    Do you use both modules - the RT module for the embedded hardware and the FPGA module for the FPGA bitstream?  If so, how does the embedded hardware talk to the FPGA?  Is this something you have to manually program?  Any literature/tutorials on this?
         -or-
    I’ve even read something to the effect- the embedded module gets programmed with the RT module when the cRIO boots up for the first time.  If that’s true, then does RT module get used only that only time and all programming thereafter is done with the FPGA module?  Is the one-time RT module provided by NI or is this something I would have to create?
    I think that I am missing something so fundamentally simple that this whole project is becoming so unnecessarily complicated.
    Thanks in advance for the help.
    Joe T.

    It is a bit complicated, but powerful and flexible.  If your needs are simple, NI provides tools (such as the scan engine) so you may not need to get into all the details.
    The cRIO is almost a full computer, running a dedicated, real-time operating system (either PharLap ETS or VxWorks, depending on your cRIO).  On top of that, there's the LabVIEW runtime.  That computer also contains an FPGA, similar to if you bought one of the NI PCI FPGA cards and installed it in your PC.
    The FPGA talks to the processor in the cRIO.  If you need full control over the FPGA, then you write that code yourself and use DMA FIFOs and front-panel controls to transfer data between the cRIO and FPGA.  If you just need access to the IO, you can use the Scan Engine, as previously noted.  You also need a way for the cRIO to talk to your computer.  Again, you can write code yourself, or use the NI-provided tools such as network shared variables.  If you don't need the cRIO to do anything other than act as an expensive data acquisition device, you can link a shared variable to an I/O channel and access it directly from your PC, or share your IO variables over the network.  Run a search on the NI site for "network shared variable scan engine" for more information.
    You write separate code for the cRIO and the FPGA.  You can run your VIs on the cRIO within the LabVIEW development environment and use most of the standard debugging tools, it will just be a bit slower to respond than when the LabVIEW code runs on your PC.  When you have a working program, you can build an application and deploy it to the cRIO so that it will run at startup.  You can do this as many times as you like.  The LabVIEW program on the cRIO may contain an FPGA bitstream embedded in it.  Writing code for the FPGA is more complicated - there are limitations, and you must compile the code before you can run it (no interactive debugging unless it's running in simulation).

  • Can't get modulation option to show in arrange/view/hyperdraw

    I want to edit automation data for the different tracks I set up and want to do this by drawing automation data in the hyperdraw section in the arrange window. However, even after selecting view/hyperdraw/modultation, the modulation option doesn't show up for all the tracks. For some of the tracks it shows immediatly, for other tracks nothing changes and the default option (Volume) stays. I did activate the view/track automation option.
    Is this a bug in Logic? I wonder because sometimes after trying to change it many times, suddenly it works.

    Hi Sue...not Steve here...
    You don't need to lock the image first, but it can aid in not selecting it for a bit.
    Lock the image.
    Create a rectangle covering the whole image.
    Place the shape, or text, for the hole you want onto the image.
      If text, make it an outline.
    Select both shapes or the rectangle that is over the entire image and the object you want to produce the "hole" in the image with and make a Compound Path (Object > Compound Path > Make or Cmd+8 or Ctrl+8).
    Unlock the image and select both the image and the compound path, then make a mask (Object > Clipping Mask > Make or Cmd+7 or Ctrl+7).
    Take care, Mike

  • How to create a pushbutton delay in the FPGA module in Labview 8.2

    I am a very inexperienced programmer of Labview, and I have what I thought would be a simple problem. I have a CompactRIO system that utilizes Labview 8.2 as well as RT and FPGA modules. I am running an end of line tester that uses a pushbutton to start the test. I am looking to force an operator to hold the test start pushbutton for one second before testing begins. If the pushbutton is released before the second is up, the test will not start. In the FPGA module, I cannot figure out how to accomplish this. I have tried using both flat sequence and while loops, but I cannot get the program to work as stated above. With the flat sequence there is not a way, that I could see, to break out of the sequence should the pushbutton be released. Using the while loop, I was closer to a solution, but could not get the program to read the input consistently. I did verify that the 24Vdc signal is getting to the input card whenever the pushbutton is pressed. The program worked fine without trying to add the delay when I was just looking for a transistion to 24Vdc on the input card when the pushbutton was pressed.
    Any ideas?  Thanks!

    Here's how you might do it with a button on-screen in LabVIEW for Windows.  If you need more specific help, post your code, or a small portion of it that demonstrates the bit that you can't get working.  (The snippet is in LabVIEW 2009 since that's what I'm using, but the attached VI is saved for 8.2)
    Attachments:
    Hold 1 Second.vi ‏8 KB

  • My office just bought me an iMac and I absolutely need to hook up a second monitor but there is no DVI connection on it, just a Thunderbolt. What do I do? Please help ASAP!!!

    My office just bought me an iMac and I absolutely need to hook up a second monitor but there is no DVI connection on it, just a Thunderbolt. What do I do? Please help ASAP!!! The imac is a 21.5" and they also already bought an HD 21.5 ASUS LCD monitor to attach to it. I'm really trying to make this setup work but this is becoming a nightmare. Any suggestions? I looked up  different VGA adapters but the only options for this would be a usb-vga connection which doesn't work on macs. Can anyone tell me if this new Thunderbolt connection will work in some way? Thanks

    Using the Thunderbolt port attach a Mini-display to DVI so long as the Asus display is equipped and capable, otherwise Mini-display to VGA will also work. The Thunderbolt port is ahead devices  which are not yet available to take advantage of the increased capacity in speed. Until the devices and connection cables are available, just use the port as a Mini-display port.

  • Integrating fpga module with standard block diagram

    Hi all,
    I'm doing an FTIR multitouch table, and I would like to be able to track blobs using LabVIEW then transfer this data to a Spartan 3e FPGA.  I have seen the tutorials on how to program the Spartan 3e and have direct communication with LabVIEW, but can I also run image processing via USB-IMAQ VIs in the same block diagram?  Basically I need to get coordinate data from the blobs (touch points on the screen) and transfer this data to an FPGA which will act as a primitive graphics card.  Any ideas are appreciated.
    Thanks...

    Zzzyzx,
    Hello! You should be able to use your Host VI to talk to Both the FPGA and the USB-IMAQ devices. You would just have two loops running at the same time, one for each device's communication. To pass data between the loops (from the vision to the FPGA) you could use a Consumer Producer Architecture.
    I assume you are referring to these tutorials?
    Using the Xilinx Spartan 3E XUP Hardware with LabVIEW FPGA
    Using LabVIEW FPGA with the Xilinx SPARTAN-3E XUP Video Tutorial
    LabVIEW FPGA Module Training for Xilinx Spartan 3E XUP Hardware
    Ben Sisney
    FlexRIO V&V Engineer
    National Instruments

  • Accessing the 40MHz clock on the PXI-7831R FPGA Module

    How do you access the 40MHz clock on the PXI-7831R FPGA Module?
    I'm trying to the FPGA to do RS-422 communication with UART and HDLC protocols. I want to divide the clock signal to generate the necessary baud rates. I've downloaded the FPGA serial examples as a start, but I'm looking for a direct connection to the 40MHz signal.
    I'm Using LabVIEW 7.1 and FPGA Module 1.1 with the LabVIEW Embedded Project Structure.

    settlesj,
    If you are looking to have access to a 40MHz digital signal,  it is possible to generate and acquire one using 7831R and LabVIEW FPGA module.  To do this you would need to take advantage of the different compile speeds available with the FPGA module. The available compile clock frequencys are: 40MHz, 80MHz, 120MHz, 160MHz, 200MHz
    For example to generate a 40MHz pulse train you could compile with 80MHz clock frequency (2 clock pulses are needed for a square wave period).
    You will have to be careful when generating code because compiling with a clock frequency higher than the default one (40MHz) could give compile errors in some cases. These errors could happen more frequently if the code is more complex and needs more time to be executed.
    I have attached an example program that generates a 40 MHz signal on a digital line.  From there you could divide down the clock rate to which ever specified baud rates you needed.  As a side not the LabVIEW FPGA 8.0 Module added the ability to derive additional clocks from FPGA base clocks in a LabVIEW project.  Hope this helps.
    Steven B.
    Attachments:
    Test40MHz.zip ‏57 KB

  • DRC warnings in LV FPGA-Modul

    Hi,
    I am using LV 7.1.1 with FPGA-Modul 1.1.
    Last week, I started to get DRC warnings in the compiler-log:
    Running DRC.
    mytop_n_133762400_resVI_n_139936640_uut/B5 is not connected.
    mytop_n_133762400_resVI_n_139936640_uut/B5 is not connected.
    mytop_n_133763768_resVI_n_139792112_uut/B5 is not connected.
    mytop_n_133763768_resVI_n_139792112_uut/B5 is not connected.
    mytop_n_117965800_resVI_n_132097136_uut/B5 is not connected.
    mytop_n_117965800_resVI_n_132097136_uut/B5 is not connected.
    mytop_n_133765136_resVI_n_135911624_uut/B5 is not connected.
    mytop_n_133765136_resVI_n_135911624_uut/B5 is not connected.
    DRC detected 0 errors and 8 warnings.
    Are they something I should worry about?
    Any hints about how I can fix things to get rid of them?

    These warnings are normal for the compile process. They indicate signals that are left unconnected within the FPGA, but that does not affect the operation or performance of your VI on the FPGA.
    Christian Loew, CLA
    Principal Systems Engineer, National Instruments
    Please tip your answer providers with kudos.
    Any attached Code is provided As Is. It has not been tested or validated as a product, for use in a deployed application or system,
    or for use in hazardous environments. You assume all risks for use of the Code and use of the Code is subject
    to the Sample Code License Terms which can be found at: http://ni.com/samplecodelicense

  • Crash at compilation/Intermediate files generation LV FPGA module

    Hi.
    I'm in desperate need of assistance.
    I have been using LabVIEW 8.6 with FPGA module running at Win XP for som time now and I have never encountered any crash while compiling before.
    I get one of those Error messages where I just can send an error report to Microsoft.
    I use the FPGA target RIO0, PCI-7833R and have designed a FPGA interface-VI including a number of functions. When I right-click at the VI and choose Compile, generation of Intermediate files begins. Now I never get further than the before LV crashes. Each and every time.
    I have no problems opening the project file or my FPGA VI, updating them or saving them.
    Grateful for help. Thanks!
    /Henrik

    Hi!
    Thanks again for good ideas. Unfortunately it didn't help repairing. I tried with both FPGA Module and the FPGA compile server (even though the compilation process never reaches that far) without success. I even tried to repair the whole LabView 8.6 but with the same result.
    Even before repairing I have been able to make another small VI work its way through the whole compilation without crashing. But when I replace the small VI with the previously "failing" VI, it crashes again. I have also tried to cut away most of the functions of the VI but it still crashes.
    Is it possible that I have some kind of bad reference, some missmatch when instanciating and mapping any of the VHDL nodes or something like that that causes the crash? Still one would think that this should generate an error in LabView rather than generating a "windows crash", but maybe something can cause the file generator to fail completely. It has been working before and I did a very small change when the crashes started, but something is obviously wrong, so...
    Since its crashing even before connecting to the compile server, do you think I can rule out any damage/failing on any of the HW (e.g. the National specific HW and my external board and the on-board FPGA? Since I'm running out of ideas myself I guess I could look into that as well, but I can't see that that's the problem.
    BR
    /Henrik

  • FPGA module unzip problem

    I downloaded the FPGA module into a controler of a PXI, running XP.  When I tried to unzip it, the upzip operation would fail everytime.  What is causing this?  Is there some kind of requirement to unzip this?  Harddrive size requirement?
    Kudos and Accepted as Solution are welcome!
    Solved!
    Go to Solution.

    Thanks for helping.  I found out what was the problem.  I downloaded the FPGA module through the link below.  When I tried to unzip it, I would use the default path.  Apparently, the default path has a space at the end of its 2nd folder, counting from the end of the path.  This is a small bug from NI, but it needs to be fixed.  It is a hard problem to catch, since I didn't expect something like that to happen. 
    https://lumen.ni.com/nicif/us/evallvuser/content.xhtml
    Kudos and Accepted as Solution are welcome!

  • Labview 2010 Student Edition, will it work with the Labview FPGA module and Xilinx Spartan 3E??

    Just as the title states.
    I did a search here on compatibility, but I am coming up short with not much to show for it.
    I have Labview 2010 student edition, 32bit and 64 bit. I am trying to do a Senior Design project for my undergrad, and I am having some issues.
    I was able to download the Support for the Xilinx Spartan 3E and Labview FPGA.
    I install it, it runs through the unzipping process, installs, and then mysteriously I cannot find it. I have looked through my programs list and it is not listed. I open both editions of LabView and I find ABSOLUTELY NOTHING indicating that either one has ANYTHING to do with FPGA.
    Did I install it wrong? Or is it just not supported in the studend version of Labview???
    Can someone help me, please?

    M Boat wrote:
    What about the trial version? Any chance of it being on there?
    I think everything is available for download, and without activation it will be in trial mode and you have about a month (?) before the trial expires.
    A trial version of the FPGA module is available for download here. I have no idea if it would work with the student version.
    I would recommend to talk to your local NI sales representative for advice. Good luck.
    LabVIEW Champion . Do more with less code and in less time .

  • LabVIEW FPGA Module Error Code 10 when creating bitfile

    I am recieving the following error message when trying to compile a vi:
    Internal Error
    An internal LabVIEW FPGA Module error occurred at the following stage: Creating Bitfile.
    Error Code: 10
    Error Text: Create Folder in nirviRecursiveCreateFolder.vi-
    >nirviRecursiveOpenFile.vi->nirviWriteBitFileInformation.vi-
    >nirviCompileDownload.vi->hardware_template.vi
    If this problem persists, please contact National Instruments.
    Any help would be appreciated.  I am not very familiar with LabView, and am trying to run code generated by a grad student several summers ago.  If more information is needed, let me know and I will try to provide it.
    Thanks

    Arkolbus-
    It sounds like the compiler is having some trouble creating a folder that it needs to generate the bitfile.  First, check all of the usual things: are you an administrator? do you have worte access to the "FPGA Bitfiles" folder in your project?
    If it looks like you should be able to write the file, you can try posting your project (zipped) and let someone on the boards try to compile it (be sure to let us know what the versoin is). If we can compile it without issue you may want to try repairing your FPGA module installation.
    Xaq "Bulldozer"

Maybe you are looking for