Problem with LogicCore clocking wizard

Hi,
i got this error during the translate of my design but i can't understand the reason of it:
ERROR:NgdBuild:455 - logical net 'CLK_OUT2_SIGNAL' has multiple driver(s):
ERROR:NgdBuild:455 - logical net 'CLK_OUT3_SIGNAL' has multiple driver(s):
I'm using ISE 14.7 and the IP clocking wizard 3.6, which menages 3 clock signals: two of them are the clock for other IP cores (selectIO interface wizard) and the third drives the clock for a counter and a ROM.
here is the code of the top level entity:
entity strutturale_SERDES is
port(
clk,
reset,
control : in std_logic;
output : out std_logic_vector (7 downto 0)
end strutturale_SERDES;
architecture Behavioral of strutturale_SERDES is
signal output_ser : std_logic_vector (0 downto 0);
signal CLK_DIV_OUT_deser_signal : std_logic;
signal CLK_OUT2_SIGNAL : std_logic;
signal CLK_OUT3_SIGNAL : std_logic;
signal CLK_OUT1_SIGNAL : std_logic;
signal CLKFB_IN_SIGNAL : std_logic;
component DESER is
generic
 (-- width of the data for the system
  sys_w       : integer := 1;
  -- width of the data for the device
  dev_w       : integer := 8);
port
  DATA_IN_FROM_PINS       : in    std_logic_vector(sys_w-1 downto 0);
  DATA_IN_TO_DEVICE       : out   std_logic_vector(dev_w-1 downto 0);
  CLK_IN                  : in    std_logic;                    -- Single ended Fast clock from IOB
  CLK_DIV_OUT             : out   std_logic;                    -- Slow clock output
  IO_RESET                : in    std_logic);                   -- Reset signal for IO circuit
end component;
component strutturale_SER
is port (
clk_ser,
clk_signal_gen,
reset,
control : in std_logic;
output : out std_logic_vector (0 downto 0)
end component;
--ipCore Clocking Wizard
component Multiplatore_CLK is 
port
 (-- Clock in ports
  CLK_IN1           : in     std_logic;
  CLKFB_IN          : in     std_logic;
  -- Clock out ports
  CLK_OUT1          : out    std_logic;
  CLK_OUT2          : out    std_logic;
  CLK_OUT3          : out    std_logic;
  CLKFB_OUT         : out    std_logic;
  -- Status and control signals
  RESET             : in     std_logic
end component;
begin
U1: DESER port map (
DATA_IN_FROM_PINS => output_ser,
DATA_IN_TO_DEVICE => output,
CLK_IN => CLK_OUT3_SIGNAL  ,  --clk for one IP core (selectIO interface wizard)
CLK_DIV_OUT => CLK_DIV_OUT_deser_signal,
IO_RESET  => reset
U2: strutturale_SER  port map (
clk_ser => CLK_OUT2_SIGNAL, --clk for one IP core (selectIO interface wizard)
clk_signal_gen =>  CLK_OUT1_SIGNAL , --clk for the counter and the ROM
reset => reset,
control => control,
output => output_ser
 --ipCore Clocking Wizard
U3: Multiplatore_CLK port map (
 CLK_IN1  => clk,
  -- Clock out ports
  CLK_OUT1=>CLK_OUT1_SIGNAL,
  CLK_OUT2=>CLK_OUT2_SIGNAL,
  CLK_OUT3=>CLK_OUT3_SIGNAL,
  CLKFB_IN=>CLKFB_IN_SIGNAL,
  CLKFB_OUT => CLKFB_IN_SIGNAL,
  RESET=>reset
end Behavioral;
I thank you in advance for your sopport.

No i didn't try an example design.
I resolved the problem using  the buffers of the kind BUFPLL.
Thank you

Similar Messages

  • Problem with my clock

    I've updated my 3GS yesterday to 4.2.1 and have now problems with the clock. My iPhone is still in the German summer time. Synchronising again this morning didn't solve the problem.
    Well done Apple, this caused a morning in unnecessary rush this morning. I was hoping Apple learned from the big issues in Australia with the alarm clock after the last update.
    Cheers

    Right now this is the Slipknot - Scream, does this not enought ?

  • Problem with the clock widget

    Hi,
       I would like to know if someone have a problem with the clock widget after doing the update system to Mac OS X Mavericks (v.10.9), cause the appearance of my clock was like this (attached image). Pay attention for the position of hands of the clock (watch hands): Don't have something strange?
      Anyone know how to fix this problem?
    Thanks in advance.

    Hi, I have the same problem, I have done a fresh install of OS X and still did not fix the clock ... For when a new update?
    Thanks

  • Problem with the importing wizard

    Hei,
    I have a dll which I want to import to the Labview project. So, I decided ti use the import wizard, but when parsing the header file, Labview freezes. I am using a couple of structures (3) and a handle. I have also read that the wizard has problems when dealing with complex data. What would be best solution for adding it to the project? To in a way "unbundle" the struct and then create a many simple variables and then parsed to dll.  (This would be a pain)
    How to deal with the handle or will wizard easy understand that?
    I am really looking for a painless solution  
    thanks for the help

    Can you post the header file for the DLL?
    Through a process of trial and error you could determine which functions causes problems with the wizard (comment out half the functions, attempt to import, repeat) and then manually create the LabVIEW VIs for the troublesome ones. If there aren't too many functions, you could do it all by hand, which isn't that hard if you have some understanding of C (and if you don't, you'll undoubtedly have other problems when the wizard doesn't do the right thing).

  • Nano problems with Alarm clocks(lik e Luna or others)

    I have a new nano 4gb, and an Xtrememac Luna... My old ipod 2nd gen worked perfecly, the new nano has problems instead.. When my alarm function lit the ipod, it does not play the song, but remain in pause... The nano wakes up, but old nano started to play the song, this stands in pause. Why this? Can I hope in a fix or something? many people have this problem...
    Possible solutions?
    thanks in advance

    Since there was no reply from Apple so far I called their service today.
    The claimed that this problem was not known with the devices which they are selling and that they can't tell about 3rd party alarm clocks.
    Big news! Who would have thought of that answer?
    Well I then called the manufacturer of my alarm clock which is called "auvisio", one of these cheap copies. They knew about the problem with the nano 3G on thier device and said that they can't offer a solution so far. They offered RMA which I would say is quite nice of them but it doesn't help me much with my wake-up-problem in the morning.
    I already settled with the thought that I would have to buy the more expensive Apple stuff but as I read above even Bose seems to have the problem. Complete confusion.
    Hopefully firmware 1.0.3 will be released soon and can finally solve these problems. Release 1.0.2 definitely was a step into the opposite direction...

  • Problems with email on wizard setup

    Hi
    I have recently purchased a blackberry pearl 8110 on O2.
    When I go into the wizard to set up my emails it only gives me two options
    1.  I want to use a work account with Blackberry Enterprise Server
    2.  Skip e-mail set up
    I.e. it doesn't give me the option to enter an e-mail address - could there be a problem with my settings.
    Thanks
    C

    ciara, Greetings, and welcome to the BlackBerry.com Support Forums.
    You'll find that is the most often asked question in this forum. It's been asked and answered thousands of times.
    Do you have a BlackBerry Data Plan enabled on your account with your carrier or mobile provider?
    You must, in order to get the RIM push email setup screen for personal email, and the BlackBerry data services such as the internet browser, Facebook for BlackBerry, BlackBerry Messenger, and much more.
    So, call your carrier and inquire about having the BlackBerry Data Plan added to your account.
    Good luck.
    1. If any post helps you please click the below the post(s) that helped you.
    2. Please resolve your thread by marking the post "Solution?" which solved it for you!
    3. Install free BlackBerry Protect today for backups of contacts and data.
    4. Guide to Unlocking your BlackBerry & Unlock Codes
    Join our BBM Channels (Beta)
    BlackBerry Support Forums Channel
    PIN: C0001B7B4   Display/Scan Bar Code
    Knowledge Base Updates
    PIN: C0005A9AA   Display/Scan Bar Code

  • Hold time problems with Dual Clock FIFO

    Undoubtedly the main use-case for Dual Clock FIFOs is the transport of data across clock domains.
    In this simple example, a serial datastream is deserialized and written to a dual clock FIFO, which in turn is read in a different (slightly faster) clock domain by a simple loopback unit, which takes the data and sends it back through another dual clock FIFO, where it is read and serialized out again.
    The clock rates used are relatively slow (10Mhz and 12MHz) compared to the FPGA capabilities (both fabric and FIFO), so I would expect this to be straight forward and without any issues. Nevertheless, Vivado constantly creates a number of hold time violations on the input of the output FIFO (usually a few hundred picoseconds) where the routing delay seems to be in the range of 5ns, which I consider odd, given that both clocks have a period which is a magnitude higher.
    The loopback should be synchronous with one clock cycle delay, so I wouldn't expect any problems from there.
    As usual, I'm probably missing the obvious here and I'm looking forward to a great explanation what I did wrong :)
    Thanks in advance,
    Herbert

    Here is the Timing Report.
    The issue might be caused by the Quick directive given for place and route.
      place_design -directive Quick
      route_design -directive Quick
    At least the hold time violations in this example go away when I remove the directive.
    Thanks for looking into it, appreciated!
    Best,
    Herbert

  • Problem with Webservice Introspection Wizard in FB3 beta 2

    The wizard finishes, and I have a nice set of AS classes from
    the WSDL. However, when I try and use any of the classes, I get
    compile errors all over the place.
    Apparently, the wizard creates references to custom events in
    the main service class like this:
    * Dispatches when a call to the operation GetUserInfoEx
    completes with success
    * and returns some data
    * @eventType GetUserInfoExSoapOutResultEvent
    [Event(name="GetUserInfoExSoapOut_result",
    type="GetUserInfoExSoapOutResultEvent")]
    * Dispatches when a call to the operation FindUsers
    completes with success
    * and returns some data
    * @eventType FindUsersSoapOutResultEvent
    [Event(name="FindUsersSoapOut_result",
    type="FindUsersSoapOutResultEvent")]
    But, no supporting classes for the event types are generated.
    So, I get errors when the main class tries to do stuff like:
    * @see IADUtils#addGetUserInfoEx()
    public function
    addgetUserInfoExEventListener(listener:Function):void
    addEventListener(GetUserInfoExSoapOutResultEvent.GetUserInfoExSoapOut_RESULT,listener);
    * @private
    private function
    _GetUserInfoEx_populate_results(event:ResultEvent):void
    var e:GetUserInfoExSoapOutResultEvent = new
    GetUserInfoExSoapOutResultEvent();
    e.result = event.result as Object;
    getUserInfoEx_lastResult = e.result;
    dispatchEvent(e);
    The
    addEventListener call and the
    new GetUserInfoExSoapOutResultEvent generate errors because
    the compiler doesn't know anything about the custom event types.
    Is this a bug in the wizard, or am I supposed to code those
    custom event classes myself? And, if I'm supposed to code the
    custom events myself, are they just normal result events, or is
    there some additional code I don't know about?
    There doesn't seem to be any good documentation (flex docs,
    tutorials, sample code, etc) on how to use the code generated by
    the wizard. Does anyone know of any examples?
    TIA,
    Randy

    Hi Randy,
    This seems to be caused by a missing import in that class.
    Try adding this line on top of the file (next to the other import
    statements) and see if it solves the problem:
    import mx.utils.ObjectProxy;
    This should be fixed in the next release, but if you can file
    a bug and attach the wsdl file so we can reproduce the bug and
    confirm it does not happen anymore it would be very helpful.
    Thanks,
    Cristian

  • 3rd Gen Nano-Problems with iPod Clock Radio.

    Hey All,
    I'm having problems w/new Nano and an Alarm Clock Radio for iPods.
    1) When the alarm time is reached, the iPod display lights up like its been triggered, by the alarm clock base, but music doesn't play. The unit then reverts to the beeper.
    2) The unit is made by SDI Technologies, the same people that make the iHome models of Alarm Clock radioes. They've told me that Apple needs to come out w/an update/fix.
    3) Does that sound right to all of you?
    Has anyone heard about a time frame for a revision past 1.0.1?
    Thanks, Tom

    Thanks GunnerJr,
    I had an appt. at my local Apple store yesterday. The guy was helpful but felt that it indeed was a firmware issue that was occurring. He couldn't say when the next update would be rolled out but said they're pretty frequent based on prior Apple products.
    Apparently the redesign of the Nano is from the ground up and very thorough. The firmware in the clock radio is probably kind of dated back to some time in '06. Hopefully the software/QA people will get wind of this issue and arite it into a future update. Certainly not the biggest issue they'll deal with but hopefully sooner rather than later.
    Tom

  • Has anyone had problems with the clock app in iOS 6?

    The clock app has been updated and now allows me to use a song as my alarm tone. Have been wanting apple to do this for a long time. Problem is that when alarm goes off I get no sound. The phone just vibrates. I do not have the phone muted. Guessing this is a glitch in the app?  Anyone else having similar problem?

    Been using iOS 6 now for a couple weeks on an iPad 2 and twice have relied on it as an alarm clock. Both times it's failed to play the song I've designated as the alarm.  I've tried it with the cover open and closed, volume maxed and not.  Each time, after the designated alarm time, I've opened the iPad up & entered the security code only to be greeted by the alarm message pop up.  That's it.
    Kinda frustrating, to say the least.
    Am I using it incorrectly?  Having used the clock on the iPhone this way in earlier versions of iOS, I've never had an issue.  Would like to leverage this functionality, but being 0 for 2, not sure I want to risk a third time...
    Thoughts?

  • Intel Graphics HD4600, Windows 8.1 x64, Driver: 3379 - problem with GPU clock

    My notebook is Lenovo B5400.
    In my notebook I have Core i3 4000m with HD4600.
    When i run gpu benchmark gpu clock goes to 1100MHz (it's fine), but if my system is in IDLE, gpu clock is 600MHz (it should be 400MHz).
    I tested it with driers 3345 too and problem was the same.
    How to fix this?
    Log from GPU-Z, i was waiting to my system hibernation (screen is off after 5 minutes): http://wklej.to/Tol36
    After I bought the notebook I run Bios Update Utility, may its the problem, but on Lenovo site there is only one version of BIOS (1.02-1.04) - I have this version now!!
    How to repair this??
    I have balanced power plan turned on, but tried witth power saving too!

    halo?
    now have Windows 7 x64 with latest Intel gpu drivers from lenovo drivers site and situation is identical.
    (I see thinkpad power manager says that gpu is on 150% (400*1,5=600), so it isnt gpu-z problem)

  • Problems with a clock element

    Good Morning,
    I just need your advice. I am trying to code a clock element with the java2d. What i thought about is just a string that is repainted each second or minute, depending on the format of the time.
    Of course, I don't want to block the main thread with this task, so i tryed to put it into its own one, that can run in the background, calculating the time and write it out.
    And this is where my problems start. The element lies in a JPanel and will be called and started by the paintComponent() - function of the JPanel. The call will start the clock thread, and within this thread, i will clear and repaint the timestring.
    The thread is started proparly, the functions are called and everything - but nothing appears on the screen.
    I think the problem is more the call of the function than the function itself - do I have to go through the JPanel each time I want to repaint the string? Thats what I want to avoid.
    This is the run()-function of the clock-element. the Graphics2D will be stored within the class with the first call by the JPanel.
         public void run() {
              mRunning = true;
              while(mRunning) {
                   mText = DateFormat.getTimeInstance(mType, Locale.GERMAN).format(new Date());
                   if(mTransform) {
                                         //Just to draw the string
                        this.transformTimeElement();
                   }else {
                                         //Just to draw the string
                        this.drawTimeElement();
                   try {
                        Thread.sleep(mSleep);
                   } catch (InterruptedException e) {
                        //empty by purpose
         }

    import java.awt.*;
    import java.text.DateFormat;
    import java.util.*;
    import javax.swing.*;
    public class TimeSetup extends JPanel implements Runnable {
        Thread thread = null;
        String mText;
        protected void paintComponent(Graphics g) {
            super.paintComponent(g);
            g.drawString(mText, 25, 25);
        public Dimension getPreferredSize() {
            return new Dimension(240, 50);
        public void run() {
            boolean mRunning = true;
            long mSleep = 500;
            int mType = DateFormat.MEDIUM;
            while(mRunning) {
                mText = DateFormat.getTimeInstance(mType, Locale.GERMAN).format(new Date());
                repaint();
                try {
                    Thread.sleep(mSleep);
                } catch (InterruptedException e) {
                    //empty by purpose
        private void start() {
            thread = new Thread(this);
            thread.setPriority(Thread.NORM_PRIORITY);
            thread.start();
        public static void main(String[] args) {
            TimeSetup test = new TimeSetup();
            test.start();
            JOptionPane.showMessageDialog(null, test, "", -1);
    }

  • Problems with the Setup Wizard for WRT54GC

    Hi…
    I just bought a WRT54GC router and while trying to install it I had some problems.
    I opened the Setup Wizard on the CD to install the router.
    When I reach step 6 the internet connection is being checked with the following result: “Unable to detect the internet connection. Please check your cable connections”.
    I’ve checked all the cables and everything is okay – I’m also able to access the internet which shows me that all cables are correctly connected.
    So why do I get this message?
    What do I have to do?
    The problem is that I’m not able to continue the Setup Wizard further than step 6.
    Thanks!

    well..if you have an internet connection through the router and the CD setup shows “Unable to detect the internet connection. Please check your cable connections”. I would recommend you to neglect it....discard the CD and configure the router manually
    you can access the router from the wired computer using http://192.168.1.1 .. the default password is admin

  • Ti4200: problem with MSI CLOCK and info page

    Help!
    I've just install ti4200 128mb.  Everything runs fine, 3d mark even showing 9300+
    However, everytime I try to go to the MSI clock and information tab under display properties, I get a Microsoft reporting Error!
    I've tried this at W2k and also failed!
    I'm running up-to-date MSI v3000 nivida drivers.
    P4 2.5ghz
    ASUS P4S533
    512mb ddr333 ram
    WD 800jb
    I think its the motherboard, any ideas?!
    Thanks in advance.

    What's all talk about install other drivers ?.
    This is old problem if you would check some old threats.
    Problem lies in ASUS mobo, nothing can fix this, yet.  
    If you have ASUS mobo, use 40.41 Nvidia drivers with Coolbit to overclock you want be sorry.
    There is nothing in this flips that you miss.
    MSI support knows about this problem you can try to send them note, but they will not response.
    MSI support is one of the worst I have ever tried.
    Like you everthing else runs great.  I would be more unhappy about there is no hardware monitoring for G4ti, I thougt first it was in this flips but they only support it for G4 MX cards.  Don't know whey but I'm very angry and I will never get another MSI card.
    Regards
    Finnbogi
    G4ti 4400 VTD
    ASUS P4S533
    P4 1.8 @ 2.2

  • Problems with 'Alarm Clock'

    Good day.
    I use my Ipod as Alarm Clock - it's pretty good to wake up while listening to the favourite music. I made it to start playing playlist without beeping.
    I don't know why, but sometimes (after watching video, listening to the music before I go to bed) my alarm clock doesn't work correctly: when it's time to wake up, it just shows a message *wake up* as usually, but without playing music, so I'm being late for some times already...
    Please, tell me what to do, how to fix this problem, 'cause I hate ordinary beeping alarm clocks.
    P.S.: Sorry, if my english isn't very good.

    Pick a sound, song, etc. for the alarm and make sure it is not the default one.

Maybe you are looking for

  • How can I embed a Jotform code on Adobe Edge Animate?

    Hi everyone! I don't have a clue where or how i can put this code in Edge: <script type="text/javascript" src="https://secure.jotformz.com/jsform/40937757111658"></script> I needed to load a Jotform form on my Edge singlepage website. Thanks!

  • Special character

    Hi friends.. Im getting the following error while ods activation. Value 'AMISH GANDHI#4604 ' (hex. '41004D004900530048002000470041004E0044004800490009') of characteristic 0POSTXT contains invalid. In ODS and PSA,i dont find # value,and instead of # t

  • A question of opening pdf file in browser

    hi,i open a pdf in browser(such as Internet Explorer 7),the link like this:http://www.123.com/ex.pdf?zoom=45,i want to open this pdf in browser with 45%,but i found it was'nt effected, it open with 133%. how can i set make it open with 45%?thanks!

  • Error when running java control panel

    Hi all, I'm having trouble when running java control panel in my laptop. When I double click the java icon, a pop up message appeared saying Could not find com.sun.deploy.panel.ControlPanel. Program will now exit. How do I fix this? Thx a bunch

  • 2 Versionen von Photoshop Lightroom auf dem PC

    3 Versuch: Im Zuge der Installation von Photoshop Lightroom 5 von einer CD habe ich nun 2 Versionen auf dem PC: 5.0.1 mit 958 MB und 5.3.1 mit 0,98 GB. Die erste Version stammt wohl von einer - zeitlich abgelaufenen - Testversion. Soll ich beide Vers