Set a delay in XI

Hi all,
We need to delay some messages in XI. Is there any way to do that without using a BPM?
Thanks

Hi,
I have posted in ur other post
pause messages in XI
Thanks,
Prakash

Similar Messages

  • How to set a delay on Autocomplete component?

    How to set a delay on Autocomplete component so that the completion method will only be invoked when users stop typing for some time. Otherwise, there are too many unnecessary server requests.

    Hi,
    You can use shortDesc property. Something like
    <af:commandToolbarButton text="Some Button"
          id="ctb1" shortDesc="This button does something.."/>-Arun

  • Communication between Lync - Mediant . 488 evt from mediant site when SBC Remote Early Media RTP parameter is set to Delayed

    Hi,
    I have a problem with Early Media functionality between Lync and Mediant 1000 E-SBC. If SBC Remote Early Media RTP parameter is set to Delayed (on IP Profile Settings level) i cannot establish connection. After receiving 183 Session progress (from Lync site)
    call is released with 488 event (488 is sent from mediant site). Before "Not applicable here" in logs i can see:
    6d:9h:46m:35s (      lgr_flow)(18219     )  #MediaResourcesConnector::AllocateMediaResources
    (lgr_media_connector)(18220     )  MediaResourcesConnector:CalculateResourcesForAppExtensions Leading:DSP Opposite:NONE MediationLevel:NONE
    (lgr_media_service)(18221     )  ServicesMngr: Allocate Media channel. current active: 0 and max is: 0
    6d:9h:46m:35s (lgr_media_service)(18222     ) !! [ERROR] ServicesMngr: Cannot allocate more Media channel. current active: 0 and max is: 0
    6d:9h:46m:35s (lgr_media_service)(18261     )  (#292) ChannelResource Deallocated.
    6d:9h:46m:35s (lgr_media_service)(18262     )  (#293) ChannelResource Deallocated.
    I need this because if im using SBC Remote Early Media RTP = Immidiately i cannot hear ringtone. Could someone give me any clues what is wrong in config?
    I enclosed detailed logs:
    Log is Activated  6d:9h:46m:35s (       lgr_sbc)(18057     )  (#51) SBCRoutesIterator Allocated.
    (       lgr_sbc)(18058     )  Classification Succeeded - Source IP Group #2.
    (       lgr_sbc)(18059     )  CallAdmission::AddDialog: Type INVITE Direction In IP group 2: 1 SRD 2: 1 SRD ovflw:1 used unres:1
    (      lgr_flow)(18060     )  (#51)SBCRoutesIterator::Change State From: InitialRouting To : AlternativeRouting
    (       lgr_sbc)(18061     )  CallAdmission::AddDialog: Type INVITE Direction Out IP group 1: 1 SRD 1: 1 SRD ovflw:1 used unres:2
    (      lgr_flow)(18062     )  (#51)SBCRoutesIterator::Next route found: Route by: IPGroup , IP Group ID: 1, Live:1
    (       lgr_sbc)(18063     )  Routing Succeeded -IP2IPRouting Rule #2.
    (      lgr_flow)(18064     )  ---- Incoming SIP Message from switch:5060 to SIPInterface #2 UdpTransportObject[#2] ----
    6d:9h:46m:35s INVITE sip:1234@Mediant;user=phone SIP/2.0
    Allow: INVITE, ACK, CANCEL, BYE, PRACK, NOTIFY, REFER, SUBSCRIBE, OPTIONS, UPDATE, INFO
    Supported: replaces,timer,path,100rel
    User-Agent: OmniPCX Enterprise R10.1.1 j2.603.33.a
    Session-Expires: 1800;refresher=uac
    Min-SE: 900
    P-Asserted-Identity: "TPSA 12" <sip:221111111@switch;user=phone>
    Content-Type: application/sdp
    To: <sip:1234@Mediant;user=phone>
    From: "TPSA 12" <sip:221111111@switch;user=phone>;tag=434636a077aeb3418ef3d5c0887debbd
    Contact: <sip:221111111@switch;transport=UDP>
    Call-ID: 5050e7eb34568795c049d14a6b088172@switch
    CSeq: 1290334631 INVITE
    Via: SIP/2.0/UDP switch;branch=z9hG4bK668ced008893ef177292c6f0f662ca02
    Max-Forwards: 70
    Content-Length: 265
    v=0
    o=OXE 1415263596 1415263596 IN IP4 switch
    s=abs
    c=IN IP4 172.16.20.32
    t=0 0
    m=audio 32156 RTP/AVP 8 4 97
    a=sendrecv
    a=rtpmap:8 PCMA/8000
    a=ptime:20
    a=maxptime:30
    a=rtpmap:4 G723/8000
    a=ptime:30
    a=maxptime:30
    a=rtpmap:97 telephone-event/8000
    (     sip_stack)(18066     )  New SIPMessage created - #57
    (     sip_stack)(18067     )  New SIPSBCCallLeg created - #1695
    6d:9h:46m:35s (     sip_stack)(18068     )  New AcSIPCall created - #1922
    (     sip_stack)(18069     )  AcTransactionUser::AddMessageToQueue: Queueing message
    6d:9h:46m:35s (     sip_stack)(18102     )  SIPCall(#1922) changes state from Idle to Invited
    6d:9h:46m:35s (     sip_stack)(18164     )  SIPCall(#1921) changes state from Idle to Inviting
    (     sip_stack)(18165     )  SIPSessionTimer<TU#1921>::FillSTRequestData - Session-Timer mode: TRANSPARENT
    (      lgr_flow)(18166     )  ---- Outgoing SIP Message to Lync2:5068 from SIPInterface #1 TcpTransportObject[#648] ----
    6d:9h:46m:35s (      lgr_flow)(18178     )  ---- Incoming SIP Message from Lync2:5068 to SIPInterface #1 TcpTransportObject[#648] ----
    SIP/2.0 183 Session Progress
    FROM: "TPSA 12"<sip:221111111@switch;user=phone>;tag=1c1656521459
    TO: <sip:1234@Mediant;user=phone>;tag=499c98c8cd;epid=DE8F26F104
    CSEQ: 1 INVITE
    CALL-ID: 1656462877611201494635@Mediant
    VIA: SIP/2.0/TCP Mediant:5068;branch=z9hG4bKac1657277504;alias
    CONTACT: <sip:lyfe02.grupa.lukas:5068;transport=Tcp;maddr=Lync2>
    CONTENT-LENGTH: 281
    CONTENT-TYPE: application/sdp
    ALLOW: CANCEL
    ALLOW: BYE
    ALLOW: UPDATE
    ALLOW: PRACK
    REQUIRE: 100rel
    SERVER: RTCC/5.0.0.0 MediationServer
    Rseq: 1
    v=0
    o=- 89 1 IN IP4 Lync2
    s=session
    c=IN IP4 Lync2
    b=CT:1000
    t=0 0
    m=audio 52882 RTP/AVP 8 97
    c=IN IP4 Lync2
    a=rtcp:52883
    a=label:Audio
    a=sendrecv
    a=rtpmap:8 PCMA/8000
    a=rtpmap:97 telephone-event/8000
    a=fmtp:97 0-16
    m=audio 0 RTP/SAVP 8 4 97
    (     sip_stack)(18180     )  New SIPMessage created - #55
    (      lgr_flow)(18181     )  |       |(SIPTU#1921)183 State:Proceeding(1656462877611201494635@Mediant)
    6d:9h:46m:35s (   lgr_stk_ses)(18182     )  |       |       |       #1694:SIP_ALERT_EV(1656462877611201494635@Mediant)
    (     sip_stack)(18183     )  SDPBody::New - id = 126
    (     sip_stack)(18184     )  SDPBodyMedia::New - id = 541
    (     sip_stack)(18185     )  SDPBodyMedia::New - id = 540
    (     sip_stack)(18186     )  <BaseSIP SDPSESSION #1694> UpdateChosenMediaByCN - CN as Remote -1
    (     sip_stack)(18187     )  SDPBodyMedia::New - id = 539
    (     sip_stack)(18188     )  SDPBodyMedia::New - id = 538
    (   lgr_stk_ses)(18189     )  SBCOfferAnswerMngr(#1694) changes state from SIP_MEDIA_OFFERING to SIP_MEDIA_COMPLETED
    (   lgr_stk_ses)(18190     )  <SESSION #1694> SendToCall - event: MEDIA_NEGOTIATION_COMPLETED_EV  m_Call#1852
    (      lgr_flow)(18191     )  |       |       (#1852)SBCCall <- (#1694)SIPSBCCallLeg: MEDIA_NEGOTIATION_COMPLETED_EV
    (      lgr_flow)(18192     )  |       (#59)SBCCallPlacementFeature <- (#1852)SBCCall: MEDIA_NEGOTIATION_COMPLETED_EV
    (      lgr_flow)(18193     )  |       (#1853)SBCParticipantEndPoint <- (#59)SBCCallPlacementFeature: MEDIA_NEGOTIATION_COMPLETED_EV
    6d:9h:46m:35s (      lgr_flow)(18219     )  #MediaResourcesConnector::AllocateMediaResources
    (lgr_media_connector)(18220     )  MediaResourcesConnector:CalculateResourcesForAppExtensions Leading:DSP Opposite:NONE MediationLevel:NONE
    (lgr_media_service)(18221     )  ServicesMngr: Allocate Media channel. current active: 0 and max is: 0
    6d:9h:46m:35s (lgr_media_service)(18222     ) !! [ERROR] ServicesMngr: Cannot allocate more Media channel. current active: 0 and max is: 0
    6d:9h:46m:35s (lgr_media_service)(18261     )  (#292) ChannelResource Deallocated.
    6d:9h:46m:35s (lgr_media_service)(18262     )  (#293) ChannelResource Deallocated.
    6d:9h:46m:35s (      lgr_flow)(18263     )  |       |       (#1853)SBCCall <- (#1695)SIPSBCCallLeg: RELEASE_EV
    (      lgr_flow)(18264     )  |       |       (#1853) SBCCall changing states from:AlertingState to:DisconnectingState
    (      lgr_flow)(18265     )  |       (#1852)SBCParticipantEndPoint <- (#1853)SBCCall: RELEASE_EV
    (      lgr_flow)(18266     )  (#1852) SBCParticipantEndPoint changing states from:InitiatedState to:ReleaseingState
    (      lgr_flow)(18267     )  (#1035)SBCController <- (#1852)SBCParticipantEndPoint: RELEASE_EV
    (      lgr_flow)(18268     )  |       |       (#1035) SBCController changing states from:EstablishingState to:DisconnectingState
    (      lgr_flow)(18269     )  |       (#1853)SBCParticipantEndPoint <- (#1035)SBCController: RELEASE_EV
    (      lgr_flow)(18270     )  (#1853) SBCParticipantEndPoint changing states from:InitiatedState to:ReleaseingState
    (      lgr_flow)(18271     )  |       (#59)SBCCallPlacementFeature <- (#1853)SBCParticipantEndPoint: RELEASE_EV
    (      lgr_flow)(18272     )  (#59) SBCCallPlacementFeature changing states from: Initiated to: Releasing
    6d:9h:46m:35s (      lgr_flow)(18273     )  |       |       (#1852)SBCCall <- (#59)SBCCallPlacementFeature: RELEASE_EV
    (      lgr_flow)(18274     )  |       |       (#1852) SBCCall changing states from:AlertingState to:DisconnectingState
    (      lgr_flow)(18275     )  |       |       |       (#1694)SIPSBCCallLeg <- (#1852)SBCCall: RELEASE_EV
    (     sip_stack)(18276     )  New SIPMessage created - #52
    (      lgr_flow)(18277     )  |       |(SIPTU#1921)DISCONNECT_REQ State:Proceeding(1656462877611201494635@Mediant)
    (     sip_stack)(18278     )  New SIPMessage created - #49
    (      lgr_flow)(18279     )  ---- Outgoing SIP Message to Lync2:5068 from SIPInterface #1 TcpTransportObject[#648] ----
    CANCEL sip:1234@Mediant;user=phone SIP/2.0
    Via: SIP/2.0/TCP Mediant:5068;branch=z9hG4bKac1657277504;alias
    Max-Forwards: 70
    From: "TPSA 12" <sip:221111111@switch;user=phone>;tag=1c1656521459
    To: <sip:1234@Mediant;user=phone>;epid=DE8F26F104
    Call-ID: 1656462877611201494635@Mediant
    CSeq: 1 CANCEL
    User-Agent: E-SBC/v.6.60A.260.002
    Reason: SIP ;cause=488 ;text="488 Not Acceptable Here"
    Content-Length: 0
    (     sip_stack)(18281     )  Resource SIPMessage deleted - #49
    6d:9h:46m:35s (     sip_stack)(18354     )  New SIPMessage created - #42
    (     sip_stack)(18355     )  Resource SIPMessage deleted - #42
    (      lgr_flow)(18356     )  |       | TransactionUserMngr::ReturnTransactionUser - #1921
    (     sip_stack)(18357     )  SIPCall(#1921) changes state from Disconnected to Idle
    6d:9h:46m:40s (     sip_stack)(18358     )  New SIPMessage created - #47
    (     sip_stack)(18359     )  Resource SIPMessage deleted - #47
    (      lgr_flow)(18360     )  |       | TransactionUserMngr::ReturnTransactionUser - #1922
    (     sip_stack)(18361     )  SIPCall(#1922) changes state from Disconnected to Idle

    Hi,
    On Mediant 1000 E-SBC SBC tab, when set Remote Early Media RTP Behavior to
    Delayed, but also check if the following configuration set correctly:
    SBC Media Security Behavior: SRTP
    PRACK Mode: Optional
    Remote Update Support: Supported Only After Connect
    Remote Re-INVITE:  Supported Only With SDP
    Remote Delayed Offer Support: Not Supported
    Remote REFER Behavior: Handle Locally
    Remote 3xx Behavior: Handle Locally
    Enforce MKI Size: Enforce
    You can refer this
    link
    Note: Microsoft is providing this information as a convenience to you. The sites are not controlled by Microsoft. Microsoft cannot make any representations regarding the quality, safety, or suitability of any software or information found there.
    Please make sure that you completely understand the risk before retrieving any suggestions from the above link.
    Best Regards,
    Eason Huang
    Eason Huang
    TechNet Community Support

  • Set a delay for automatic shutdown of virtual machines

    Hallo,
    is there a way to set a delay for the automatic shutdown of the guests when the host is shutting down? Just like the automatic startup delay but for the shutdown. The simplest possibility would be to shutdown the guest in the opposite of the startup delay.
    I want to shutdown the Exchange Server first and then the rest - the virtual Domain Controller should be shutdown as the last server.

    Hi,
    Additional, please notice the guest 5 minutes delay shutdown issue, more detail please refer the following article:
    Shutting down a virtual machine
    http://blogs.msdn.com/b/virtual_pc_guy/archive/2011/01/11/shutting-down-a-virtual-machine.aspx
    Hope this helps.
    We
    are trying to better understand customer views on social support experience, so your participation in this
    interview project would be greatly appreciated if you have time.
    Thanks for helping make community forums a great place.

  • When using Verizon message +; is there a setting for delaying sending text message?

    I switched from GO SMS Pro to Verizon message +. However, I liked the ability to delay sending the text (in case of bad finger). I have not found a setting to delay sending. Has anyone found a way?

        prr284
    I understand how important it is to have the feature you need from your messaging service! At this time, there is not a way to set up a delayed message from Verizon Messages. I'm sorry about any inconvenience this causes! I can lift this up as a suggestion for improvement. Thanks for the idea!
    RuthW_VZW
    Follow us on twitter @VZWSupport

  • Does anyone know if one can set a delay in sending mails?

    Does anyone know if there is a possibility to set a delay on sending emails, using Mail 5.0 in Lion?  Often I write emails and send them too quickly... 

    Hello:
    To my knowledge, no. 
    Mail 5.0 automatically saves a draft a few seconds after you begin to compose the document.  You could just quit Mail (also saves draft automatically).
    Barry

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • Set frame delay time for animated gif using ImageIO

    I'm trying to change the delay time of each frame for an animated gif by changing the metadata for each frame as following but it doesn't change anything.
    static private IIOMetadata setMetadata(IIOMetadata metadata, int delayMS) throws IOException
              Node root = metadata.getAsTree("javax_imageio_gif_image_1.0");
              for (Node c = root.getFirstChild(); c != null; c = c.getNextSibling())
                   String name = c.getNodeName();
                   if (c instanceof IIOMetadataNode)
                        IIOMetadataNode metaNode = (IIOMetadataNode) c;
                        if ("GraphicControlExtension".equals(name))
                             metaNode.setAttribute("delayTime", Integer.toString(delayMS));
         }Does anyone know how to set delay time for animated gif using ImageIO ?

    I'm trying to change the delay time of each frame for an animated gif by changing the metadata for each frame as following but it doesn't change anything.
    static private IIOMetadata setMetadata(IIOMetadata metadata, int delayMS) throws IOException
              Node root = metadata.getAsTree("javax_imageio_gif_image_1.0");
              for (Node c = root.getFirstChild(); c != null; c = c.getNextSibling())
                   String name = c.getNodeName();
                   if (c instanceof IIOMetadataNode)
                        IIOMetadataNode metaNode = (IIOMetadataNode) c;
                        if ("GraphicControlExtension".equals(name))
                             metaNode.setAttribute("delayTime", Integer.toString(delayMS));
         }Does anyone know how to set delay time for animated gif using ImageIO ?

  • Trying to set a delay in an Applescript for VPN connection

    I need to be able to set some routes upon opening a particular VPN connection so I did some searching and found a really simple Applescript that does the job. Problem is it tries to set the routes before the VPN actually connects so the routes don't go in.
    I added in a 10 second delay which does the trick, but I'm thinking there has to be a way to do this that waits until the VPN actually connects before continuing - so if it takes 5 seconds or 10 or whatever, it waits.
    The other thing I'm doing that I think is bad is I'm sending a route delete command before sending the add command. Why? Because if I don't and for some reason the route is partially in the table, it doesn't give an error and ends up not routing. Again, probably a better way to do this.
    Here is my current script"
    -- Connect Work VPN
    tell application "System Events"
    tell current location of network preferences
    set VPNservice to service "Work" -- name of the VPN service
    if exists VPNservice then connect VPNservice
    end tell
    end tell
    delay 10
    set gateway to "x.x.x.x" -- omitted here for security
    do shell script "route delete 192.168.25.0/24 " & gateway with administrator privileges
    do shell script "route delete 192.168.20.0/24 " & gateway with administrator privileges
    do shell script "route add 192.168.25.0/24 " & gateway with administrator privileges
    do shell script "route add 192.168.20.0/24 " & gateway with administrator privileges
    Any suggestions??
    Thanks.

    you might want to try asking in the Applescript forum under OS X technologies.
    I don't have any VPN connections so can't test anything but applescript dictionary for system events indicates that configuration property of a service has a boolean property "connected". so just run a loop with, say, 1 second delay until this porperty becomes true. presumably it would be something along the lines
    <pre style="
    font-family: Monaco, 'Courier New', Courier, monospace;
    font-size: 10px;
    margin: 0px;
    padding: 5px;
    border: 1px solid #000000;
    width: 720px;
    color: #000000;
    background-color: #ADD8E6;
    overflow: auto;"
    title="this text can be pasted into the Script Editor">
    tell application "System Events"
    tell current location of network preferences
    set VPNservice to service "Work" -- name of the VPN service
    if exists VPNservice then connect VPNservice
    repeat until (connected of current configuration of VPNservice)
    delay 1
    end repeat
    end tell
    end tell
    set gateway to "x.x.x.x" -- omitted here for security
    do shell script "route delete 192.168.25.0/24 " & gateway with administrator privileges
    do shell script "route delete 192.168.20.0/24 " & gateway with administrator privileges
    do shell script "route add 192.168.25.0/24 " & gateway with administrator privileges
    do shell script "route add 192.168.20.0/24 " & gateway with administrator privileges</pre>

  • How can I set a delay between the measuremen​t of different channels..​.?

    Hello everyone,
    I am using Labview 8.0, and a PCI 6221 card. (windows XP).
    I am using the 8 AI of the card to measure 8 Voltages (diff. mode).
    The problem I have is that I get an offset except on the first channel I measure. If I set the DAQ assistant like this : AI0;AI1;AI1. This is what I measure : 0.320V;0.1695V;0.168V
     I only get the offset  with the first AI1 and not the second AI1 (0.168 is the correct value). I suppose the multiplexer hasn't got the time to change impedance when changing from AI0 to AI1.
    I have attached a simplified version of my program where I am measuring 3 channels, and generating a square wave analog output.
    My idea is to introduce a delay between the change of channels....  Is that a good idea...? and I am sure there is a very simple way to do this based on my program, but I don't know how. How long should the delay be?  Or any other idea...?
    Thanks for your help,
    Marc
    Attachments:
    forum.vi ‏71 KB

    If I choose just one channel ( AI0, AI, AI2,....or AI7) I have no offset. The offset only occurs when I set more than one channel to be measured ( but the first channel in the order of reading is always fine what ever it is). I have explained my problem in a previous post :
    http://forums.ni.com/ni/board/message?board.id=170​&message.id=257677&query.id=32291#M257677
    I have some noise on my measures (roughly +/5 mV), so for the moment I am taking 1000 samples every 1ms on each channel and making an average of the 1000 samples. 
    I will try your solution : taking a look at the first points of my measures to see if the offset goes away quickly or not. However since I have been  making an average on 1000 points at 1Khz, if I get a 2mV offset (after making the average), it means there are many points conerned by the offset.... I will see that anyway when looking at the 1000pts.
    I don't have much experince in this, but I am surprised I have to deal with this kind of multiplex problem on a NI card. What do you think about it...?  Does it surprise you...? What could I be doing wrong ?
    Thanks a lot for your help anyway 
    Marc

  • Setting a delay + radiobutton

    Ok, I've got a square that will show up depending on which
    radio button the user selects. What I'd like is to have the user
    select a radio button, the price and divider line drops down to
    make room for the square, and then the square will appear. The
    problem is that without a delay the square shows and the price line
    runs down over top of the square.
    So, I found the setTimeout function and I'm trying to use
    that. It works good when you click one time on the "Side" radio
    button because I don't have a clearTimeout set. When you click the
    "Center" button, it does not work (it doesn't show the square at
    all). I set a clearTimeout on the Center button.
    I need the clearTimeout to work because if you click "Side"
    and then click "None" right after, the square still pops up.
    Here is a link to the fla:
    PriceLineAnimation.fla
    How can I make it work so there is still a delay for the
    price line to drop, the square only shows up on the button selected
    and disappears if the user changes their mind and clicks something
    else?
    Thanks!

    Well, I looked over my code and corrected some mistakes as
    well as tweaked a few things. It now works. So, here is the code
    that I ended up with.

  • How to set time delay in javascript for indesign cs2?

    while am running the js, am using function date.getMonth, date.getDate()...
    this function produce the error, which return the function as error message,
    if i set alert before running this every time , am not getting the errror
    how to solve this?
    thanks in advance
    subha

    Dear Subhaoviya
    Please use the below coding and get your date and Time functions
    var today = new Date();
    var myDate = today.getDate();
    Thanks & Regards
    T.R.Harihara SudhaN

  • How to set up delayed sending with Mail ?

    Since I am not allways internet connected, I would like in Mail to send my mail only when I am connected, or to decide by myself when to send mails.
    How to set up this fonction ?
    Or how to do with Mail when I am not permanently conncetd to internet ?
    Than You

    If you are not connected to the internet when selecting Send for a message, you will receive the following error message.
    Error
    This message could not be delivered and will remain in your Outbox until it can be delivered.
    The server "the account's SMTP server here" cannot be contacted on port 25 (or whatever Server Port is used by the account's SMTP server).
    When connected to the internet and launching Mail, all messages that remain in the Outbox mailbox will be sent automatically.
    No other setting is required but if you select Send for a message when not connected to the internet, you cannot prevent any messages from being sent that remain in the Outbox when connected to the internet and launching Mail.
    If you want to decide when to send messages that were composed when not connected to the internet, save the messages as a Draft. Open the saved Draft message and select Send.

  • TS2481 Contacts synchs very slowly and all the time. How do I speed it up or set a delay between synchs so it does not slow me down?

    Seems that iCloud is frequently and very slowly synching my contacts db. Can I set an interval between synchs? Is there a way to speed up each synch?

    There is no fixed sync interval. iCloud pushes out data whenever there's a change on any of your devices. Why do you think something is wrong, and have you taken all the steps suggested in the support article?

  • How can I set a delay lock on iPad?

    Hi
    I would like to limit my four year old son playing his iPad reduction.
    Is there iPad have a time lock settings or Apps?
    Thanks!

    No, if you want to stop the app after a time, there is not a way.
    Sorry....
    Only for locking after inactivity.

Maybe you are looking for