The importance of input parameters and output parameters

hi all.
kindly suggest me the importance of output and input parameters in smart forms.

HI,
INPUT parameter----
> the varibales which already defined and defined and holds the data.
OUTPUR parameter----
> the variables which are not holding the values before executing the flow logic
                                                      after  executing flowlogic these will hold the values.
Eg:
in flow logic:
input para                                             output para
gv_val                                                    gv_val1.
code:
gv_val1 = gv_val + 1
reward me if it is useful.
thanks
AM

Similar Messages

  • Input Tax and Output Tax calculating wrong figures

    Hi,
    I have created Input Tax and Output Tax codes in SAP.
    Input Tax- 3%
    Output Tax- 4%
    All the configuration is done as required. But when I am posting the Purchase and Sales Invoice, the tax amount is not calculating correctly.
    For example:
    Input Tax Calculation should be:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29100
    Input Tax A/C............ Dr 900 (@3% on 30000)
    Input Tax Calculation in SAP:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29126.21
    Input Tax A/C............ Dr 873.79 (@3% on 30000)
    The same is happening to Output Tax transactions.
    Please advice.

    My understanding about the Input Tax and Output Tax was wrong. In the issue reported
    Input Tax Calculation should be:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29100
    Input Tax A/C............ Dr 900 (@3% on 30000)---my understanding was wrong.
    Input Tax 3% should be charged on Expense amount, in this case Rs 30000. But because in the editing option calculate tax on net amount option was not selected, hence SAP was giving the below entry, which is correct as well.
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29126.21
    Input Tax A/C............ Dr 873.79 (@3% on 29126.21) which is correct.
    Hence, the Vendor amount should be Expense + Input Tax= 30000 * 3%=900 + 30000 (expense) = 30900.
    Correct Entry should be:
    Vendor A/C...............Cr 30900
    Expense A/C............Dr 30000
    Input Tax A/C............Dr 900
    Resolved. Pankaj has given the correct answer.

  • Separate thread for input stream and output stream.

    Hi Techies,
    In a socket connection, can we run the input stream and output stream in separate threads. actually in my case, the input stream will be getting the input regularly and output stream will send data very rare. so if i impelment them in one class then unless there is data to send output stream will be blocked. i was thinking to impelment both the streams in separate threads. is it a good way? so how to implement it. your guidance will be of great help.
    thanks in advance.

    JavaBreather wrote:
    Hi Techies,
    In a socket connection, can we run the input stream and output stream in separate threads.I would say this is the most common way of handling sockets and threads. esp pre-NIO.
    Iis it a good way? so how to implement it. your guidance will be of great help.Once you have a socket, create two threads, one which does the reading and one which does the writing.
    You could use BlockingQueues to abstract access to these threads. i.e. the reading thread reads something from the socket and adds it to the BlockingQueue. The writing thread take()s something froma second BlockingQueue and writes it to the Socket. This way you add things to write or get thing to process by looking at the BlockingQueues.

  • Payroll Log - input,processing and output

    ALL
    i have a doubt here and i hope it would be cleared in this forum.
    how does values comes in Input, Processing and output in payroll log.... for ex: sap provides detailed explanation in processing step.... where does this stored.... how it is appearing in payroll log.... plz. help to understand this.... Thank you all for your continuous contribution to this forum

    Hi,
    I think you are talking about the log that is getting after executing the Payroll.
    If so, as an example, kindly goto Factoring and storage and double click on X023 (Gross input and storage).
    Here, you can see the Input Table, Processing and Output Table.
    If I am not wrong you are talking about the Processing that is displayed here.
    If yes, Open the Schema through TCode PE01 and double click the Subschema for Period factoring and storage (INAL is the standard one. But if some 'Z' has been used instead of INAL double click that one). Here you can see  X023 (Gross input and storage). Double click X023 and you can see Rule according to which the Processing is happening.
    Thanks and Regards
    Kiran

  • Inputting from and Outputting to Excel Spreadsheets (.xls): How is it done?

    Is it possible to input from and output to .xls files in a Java program?
    If so, can you point me in the right direction/show me how?

    AlphaBlue wrote:
    jverd wrote:
    What were you hoping for? Someone reading your mind for what exactly you need, and handing you a giftwrapped answer, without you doing any work or clearly communicating a specific problem? This site doesn't really work that way.Fair enough. I'm asking for insight into how to input from and output to a StarOffice Spreadsheet into/from a Java program. (Think java.io except with spreadsheet files instead of .txt files.) I already answered that question.
    I need to accomplish this without the use of a community-created library.That's a bizarre requriement. Why?
    >
    Okay, [here you go|http://lmgtfy.com/?q=communication+between+StarOffice+Spreadsheets+and+Java].
    If you don't have any knowledge or experience, on the matter, please refrain from directing me to a google search. I assure you, I have already performed such a task.How would I know that.
    But okay, let's say I know that. Let's say you bothered to point out that you've already done that. Further, let's say I do have knowledge of the subject at hand. Maybe I'm an expert. Maybe I wrote Star Office and an open source library (which wheel for some reason you must reinvent). You are assuming that I also have psychic powers, so that I can read your mind and know exactly what you've read so far and exactly what parts of your very broad and vague question said reading did not answer.
    In short, you have received answers commensurate with your questions.

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How can i use in the same time input line and mic to recorder in several track whit SONAR

    I have beem recording only input line because SONAR 4 not recognized the mic in, Help me!!!.
    Thank you. Sorry for my english

    LIMACAR wrote:
    How can i use in the same time input line and mic to recorder in several track whit SONAR 4. I have beem recording only input line because SONAR 4 not recognized the mic in, Help me!!!.
    Thank you. Sorry for my english
    Depending on which soundcard you have there, but if your card is capable for
    ASIO -> activate I/O drivers on Sonar/Options/Audio/Drivers -tab (mic/line sources should be listed there --> activate) and select the mic or/and Line sources on tracks "I" - dialog
    WDM/KS -> use the Surround Mixer or windows recording controls for recording source selection (mic should be listed there)
    MME32 - > same w/ WDM/KS
    If you use Asio4All --> same w/ WDM/KS & MME32
    No mic and line source simultaenously w/ WDM/KS and MME32.
    You perhaps be able to do this w/ kX drivers.
    jutapa
    ADDED:
    You can also install modded version of Audigy 2 drivers/software but I have never done this w/ Li've! 5. so I can't be sure if you get ASIO support for your card.
    Here are the instruction --> http://www.tech-pc.co.uk/audigy-2.php
    jutapaMessage Edited by jutapa on 05-25-2006 02:48 PM

  • I need a sample vi that can plot a transfer function given zeroes and poles (It should allow the user to input zeroes and poles). It should be done in the S domain.The user should be allowed to put poles and zeroes, with frequency

    I need a sample vi that can plot a transfer function given zeroes and poles (It should allow the user to input zeroes and poles). The user should be allowed to put poles and zeroes, with frequency. DONE IN S DOMAIN.

    I have created a VI (LabVIEW 6.1) that does what you want. Note that the poles and zeros have to be entered correctly that is in Rad/s and typically with negative real part. The VI offers you all options for lin/log frequency axis, magnitude in dB or not, phase in Radians or Degrees etc...
    The VI is written with "academic" in mind, so it is not optimized for performances but (hopefully) for clarity. I hope this will work for you.
    Attachments:
    S-Domain_Transfer_Function_from_Poles-Zeros.vi ‏167 KB

  • I need a sample vi that can plot a transfer function given zeroes and poles (It should allow the user to input zeroes and poles)

    I need a sample vi that can plot a transfer function given zeroes and poles (It should allow the user to input zeroes and poles). The user should be allowed to put poles and zeroes, with frequency.

    Check the answer to your other posting
    http://exchange.ni.com/servlet/ProcessRequest?RHIVEID=101&RPAGEID=135&HOID=50650000000800000052A90000&UCATEGORY_0=_49_%24_6_&UCATEGORY_S=0

  • Reg Input parmeters and Output parameters.

    Hi frnds,
                I would like to know about Input parameters and Ouput parametets.How they can be used in Smartforms. could please provide me some expamles if any.
    Regards many,

    Defining a Form Interface
    Use
    You define a form interface to send data from your application to a form.
    Integration
    The form interface is a part of the Interface form object and is defined in the Form Builder. The form interface of a form is structured in the same way as the interface of a function module in the system. However, form interfaces do not support Changing parameters.
    Prerequisites
    ●     You have created an Interface form object.
    ●     The application program provides the data that you want to insert in the form. Therefore, you already know the types of the corresponding variables.
    The semantics of the valid parameter types match the semantics of a function module,
    See also:
    Getting Information about Interface Parameters
    Overview of Function Modules (in ABAP Workbench: Tools)
    Creating Function Modules (in ABAP Programming (BC-ABA))
    Procedure
           1.      Open the required interface in the Repository Browser of the ABAP Workbench.
           2.      The Interface tab is displayed. Under Form Interface, double-click the Import node.
           3.      You see a screen for entering import parameters. This screen also shows the standard parameter /1BCDWB/DOCPARAMS. You cannot change this parameter.
    You use this parameter in your application program, to specify the value for the language setting, for example. To display this structure in the ABAP Dictionary, double-click the SFPDOCPARAMS type.
           4.      XML schema-based interface
    ○     The standard parameter /1BCDWB/DOCXML with the type XSTRING is used for sending data from the application program.
    ABAP-based or Smart-Forms-compatible interface
    ○     To insert a new line, choose Insert Line (). Enter the parameter names of the data you want to import. These names are valid for the whole form and do not have to match the variable names in the data collection program. All data types are valid. TYPE can be selected under Type Assignment.
           5.      When you double-click Export, you see the standard parameter /1BCDWB/FORMOUTPUT of the generated function module. You cannot change this parameter.
    You use this parameter in your application program, to make the generated form available as a PDF for further processing, for example.
           6.      To display the exceptions used by the generated function module, double-click Exceptions.
           7.      Activate the interface.
    At runtime, the application program must provide the parameters. If a parameter has been defined in the form, but is not defined when the application program is called, then the output of the form ends with the program crashing (hex dump).
    However, it is also possible that the application program provides parameters that the form does not need. You do not need to mention these parameters in the form; they can also be specified in a different order from the code of the application program. This has the advantage that an application program can work with several different forms.
    Migrated Smart Forms Interface or New Smart Forms-Compatible Interface
    When you edit the interface of a migrated Smart Form or a new interface that is compatible with Smart Forms, the Tables option gives you extra parameters. When you set the Import, Export, and Exceptions parameters, the system also lets you use the standard parameters from the Smart Forms.
    If you have a Smart Forms-compatible interface, you can use the existing application program of this Smart Form without making any modifications. (See also Switching Runtime in Migrating Smart Forms.)
    Result
    You have defined the form interface and you can use the specified parameter names in the form context.
    http://help.sap.com/saphelp_nw2004s/helpdata/en/da/8fcc44d98b4e8bac6f102aa1fc69cc/content.htm

  • Change input type and output type

    Hi,
    I am working on BPEL10.1.2. I need to change my input type to take more parameters and output type to send more parameters. Somehow I am not able to find hot to do it. Any help on this will be appreciated.
    Regards
    Arvind Aggarwal

    It's odd. In this case you must create your .xsd file and import it into the project (just see any of the tutorials).
    Don´t you see the .xsd file in the structure tag in JDeveloper?. Maybe you need to recreate your project.

  • Please explain the relationship between sequence setting and output

    I am looking for an explanation of the sequence setting and the output settings (Mac Quad core, 10.7.4 - CS6 Production Premium, PR)  I am primarily working in AVC-I 100 and XDCam. I've found that I can drop in AVC-I into a Seq and it will set the Seq to that codec. Many times I'll need to send the in-progress and final edits to my clients as an WMV (HD) video file. In FCP, I would export the self contained seq and use Episode to transcode to wmv. I'm having trouble understanding the best way to do this in PR (CS6).  I've found that if I leave the Seq setting to the AVC-I, the render and the file output is a 50% quality mpeg-I. Is it best to set up a custom sequence w/ ProRes as the render codec? I'm having a hard time finding or getting an explanation of best practices for this type of operation. Any guidance would be appreciated.
    Thanks,
    jim

    Remember I said you could force Pr to use your rendered preview files instead of the source media for export?  Selecting Match Sequence Settings in the Export Media dialog is how you force it.  Don't do that.  And don't shoot the messenger -- I've lobbied against that label for that control for 2 versions now.
    Some Export formats, like H.264 Blu-ray and MPEG2-DVD, have an available Preset called "Match Source Attributes".  If you choose that Preset, you get something like this:
    For details on setting up a sequence, see the Help files:
    http://help.adobe.com/en_US/premierepro/cs/using/WS3E67AF4C-B2A2-4f04-90B4-F8CCFB74B144.ht ml#WSd79b3ca3b623cac941d41a681247d1f35a4-7fff
    Jeff

  • What are the important tables in inventory and purchasing.

    Kindly let me know table names.

    Please search on etrm and use Inv/PO as Product and object type as Table
    http://etrm.oracle.com/pls/et1211d9/etrm_fndnav.ls_object
    thanks

  • Help on settings for the input and output jacks on the macbook

    Is there any way of playing music out through the input jack on the macbook so you could have two different music play out the input jack and output jack at the same time or just out of either one?

    Is there any way of playing music out through the input jack on the MacBook so you could have two different music play out the input jack and output jack at the same time or just out of either one?
    The input lack is only input. If you have an AirPort Express, you can have iTunes send its audio out there and have another application use the MacBook audio output. You can also get an extra audio output via USB with the iMic
    <http://www.griffintechnology.com/products/imic>
    You can then send audio from one application to the iMic, and another to the MacBook output by hijacking one application with Audio Hijack Pro and using the auxiliary Device Output effect.
    <http://www.rogueamoeba.com/audiohijackpro/>

  • FINALLY INPUTTING and OUTPUTTING Annotations!

    Ok, before everyone thinks that this is a very bad solution, I have to tell that I`m no programmer and my knowledge of PostgreSQL, Ruby or any other language is very poor.
    With useful help from Jamie Hodge, fbm and Nicholas Stokes (mainly)
    I could manage to write a command for inputting and outputting from Final Cut Server Annotations.
    So lets go to the fun part:
    INPUTTING:
    1- Create a Response called "Annotations IN" (or whatever you want):
    a - Reponse Action: "Run an external script or command"
    b - Run Script > *Commnad Path: /Library/Application Support/Final Cut Server/Final Cut Server.bundle/Contents/Resources/sbin/fcsvr_run
    Command Parameters: psql px pxdb -c "COPY pxtcmdvalue FROM '/FCSRV/annotation-in.txt' USING DELIMITERS '|';"
    2 - Create a poll Watcher with name: "Watch for Annotations IN"
    a - Enable: true
    b - Monitor Address: Chooses a Device (create a new one or use a tmp one) and path to where you`ll going to put a txt file with the annotations.
    c - Response List: Choose the Response you created "Annotations IN" in my case.
    d - Event Type Filter: Created, Modified
    e - Poll Watcher > Listing Frequency: 2 (or any number of seconds you feel like it).
    Listing multiple: 2
    Wildcard include Filter: *.txt (or any custom extensions you want)
    3 - Create a txt file and use this as a template:
    {ASSET_ENTITYID}|1527|{TCIN}/(30000,1001)|{TCOUT}/(30000,1001)|{Annotation}|{USE RID}|{DATE}
    Where:
    {ASSET_ENTITYID} = Is the entityid of your asset. You can find what number it is by issuing:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "SELECT pxmdvalue.entityid, pxmdvalue.value AS asset_name FROM pxmdvalue INNER JOIN pxentity ON pxentity.entityid = pxmdvalue.entityid WHERE pxmdvalue.fieldid='1543' AND pxentity.address LIKE '/asset/%';"
    This will output ALL your assets, so if you know the name or want to parse the name you can use:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "SELECT pxmdvalue.entityid, pxmdvalue.value AS asset_name FROM pxmdvalue INNER JOIN pxentity ON pxentity.entityid = pxmdvalue.entityid WHERE pxmdvalue.fieldid='1543' AND pxmdvalue.value='ASSETNAME' AND pxentity.address LIKE '/asset/%';"
    Where in ASSETNAME you`ll have to put your Asset Name without extension.
    {TCIN} and {TCOUT} is, of course, the TC`s points. In the form of: HH:MM:SS;FF
    {Annotation} is the commentary.
    {USERID} (in my case was 1)
    {DATE}: This one is tricky. My example is 2009-03-15 19:31:15.839795-03
    So is in the form YYYY-MM-DD HH:MM:SS.????? I really don`t know the rest. Could be milliseconds?
    Of course one can write a script to translate everything from a txt file like:
    ASSETNAME | TCIN | TCOUT | ANNOTATIONS | USER
    But as I`ve said I`m no programmer
    Ok.. now the time for the OUTPUT:
    The command-line is:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "SELECT pxmdvalue.value AS Asset_NAME, pxtcmdvalue.value, pxtcmdvalue.begintc, pxtcmdvalue.endtc FROM pxmdvalue INNER JOIN pxtcmdvalue ON pxmdvalue.entityid = pxtcmdvalue.entityid WHERE pxmdvalue.value='ASSETNAME';"
    Where ASSETNAME is the Asset name without the extension.
    Or issuing the following to OUTPUT ANNOTATIONS from ALL assets:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "select * from pxtcmdvalue;"
    Adding "> /PATHTO_WHERE_IN_WANT/ANNOTATIONSOUTPUT.TXT" at the end will put all output into a txt file.
    It`s possible (in theory) to:
    1- Create a boolean md field in FCSRV called "EXPORT Annotations" (don`t choose lookup)
    2- add or create a md group called "Export Annotations" and add the above md field to it (don`t choose lookup)
    3- Add "Export Annotations" md field to Asset Filter md group
    4- Make a Response for Running external command. Command path: /Library/Application Support/Final Cut Server/Final Cut Server.bundle/Contents/Resources/sbin/fcsvr_run
    Command Parameters: psql px pxdb -c 'SELECT pxmdvalue.value AS Asset_NAME, pxtcmdvalue.value, pxtcmdvalue.begintc, pxtcmdvalue.endtc FROM pxmdvalue INNER JOIN pxtcmdvalue ON pxmdvalue.entityid = pxtcmdvalue.entityid WHERE pxmdvalue.value=[FileName];' > ~/Desktop/ann-out.txt
    (I`m having problem with this, it doesn`t work).
    5- Make a Subscription that if Export Annotations modified = true, trigger if changed and trigger the Response above.
    6- Add exporting annotations md group to Media md set.
    In theory it`s possible to modify the FinalCutServerIntegrationSample get and input annotations instead of adding another "comment" field to md group list.
    Few!
    Ok so please help beautify this out!
    This will be very useful for a lot of people.... We know that it`s only a matter of time to FCSVR have this function built-in... but this "time" could be years.
    So let`s start ourselves!
    Thank you very much!!
    Regards!

    AlphaBlue wrote:
    jverd wrote:
    What were you hoping for? Someone reading your mind for what exactly you need, and handing you a giftwrapped answer, without you doing any work or clearly communicating a specific problem? This site doesn't really work that way.Fair enough. I'm asking for insight into how to input from and output to a StarOffice Spreadsheet into/from a Java program. (Think java.io except with spreadsheet files instead of .txt files.) I already answered that question.
    I need to accomplish this without the use of a community-created library.That's a bizarre requriement. Why?
    >
    Okay, [here you go|http://lmgtfy.com/?q=communication+between+StarOffice+Spreadsheets+and+Java].
    If you don't have any knowledge or experience, on the matter, please refrain from directing me to a google search. I assure you, I have already performed such a task.How would I know that.
    But okay, let's say I know that. Let's say you bothered to point out that you've already done that. Further, let's say I do have knowledge of the subject at hand. Maybe I'm an expert. Maybe I wrote Star Office and an open source library (which wheel for some reason you must reinvent). You are assuming that I also have psychic powers, so that I can read your mind and know exactly what you've read so far and exactly what parts of your very broad and vague question said reading did not answer.
    In short, you have received answers commensurate with your questions.

Maybe you are looking for

  • Custom method and Backing Bean

    Hi, I have created a custom method in my application for creating a record in table "TEST". I then dragged the method on a jspx page and created a parameter form. The method has some parameters, for example parameter1, parameter2,....parameter10. One

  • Error in Creating Remittance Challan -TDS

    Hi, I have Created PO and Invoice of the same of Rs 52,500/- out which Rs 1,176/- is Withholding Tax (TDS) payable. So when I go to J1INCHLN Tcode to create Remittance Challan fill the relavant details and execute it ask me Choose Selection Creation,

  • Nothing on firefox is working

    After updating my Firefox I have encountered a several problems. 1. When right clicking on the tab bar, and then clicking undo closed tab. Nothing happens. Firefox will also say I have no new closed tabs. 2. Firefox will also say that even tho a page

  • How to Get the Parent - Child Relationship

    Hi , In my application having 100 tables with parent - child releation ship without using casecade constraints i want to delete the parent with child and sub child records Example Table - 1 parent1 child 2 Table - 2 Child 1 - Parent 1 Parent 2 Table

  • Pls help me in writing a query for my problem !

    I have to find out the amount(LAmt) for members who lie btw diff age groups, below are the table structures: table1 DOB ID 12/24/1986 F125 06/05/1988 F223 05/04/1987 F589 07/07/2001 F856 table2 LAmt ID LDate $56 F125 01/01/2011 $45 F223 08/22/2005 $8