VA05 (Or VA05N) - Is it possible to send automatically the file generated ?

Hello
when I run the VA05 (Or VA05N), the list of sales order is showed and is possible to have this list into a file.
My question is:
Is it possible make a set up to send this file automatically somewhere ? For exemple on al11, or by idoc, etc etc
Thank you for the reply
R

Hi
You can send it as a PDF from the SPOOL after a job. For instance, in tcode SBWP, and mantain distribution lists.
After, you can run VA05 and VA05N with SM36, and in Spool list recipient, in the popup dynpro in recipient, select in distribution lists and run it. Of course, you need have the SMTP in the SCOT. After, check in tcode SOSB the sending of emails.
I hope this helps you
Regards
Eduardo

Similar Messages

  • Is there a possibility to send from the Admin console the invitations to endusers in different languages? Somehow all the invitations go out in German but for my colleages in Spain and in the UK it makes it difficult to understand what they have to do wit

    Is there a possibility to send from the Admin console the invitations to endusers in different languages? Somehow all the invitations go out in German but for my colleages in Spain and in the UK it makes it difficult to understand what they have to do with this invitation. It doesn't help when I change the language in the admin console....Thanks for your help

    Hey Eric,
    Thanks for taking the time. Unfortunately no that does not solve it. Same as swipe it will get me there and it will show separate programs spaced out. The issue I am having is that all my open word files are bunched up in a pile on top of each other. I can see the edges of each one but I want them to be separated from each other enough that I can visually identify what file is what.
    Again, thanks for trying, it is appreciated.

  • Is it possible to send an excel file through xi

    Hi all,
    is it possible to send an excel file through xi? if it is possible plz tell me that.....
    plz help me on this........
    Thanks...

    Hi,
    See this link
    integrating legacy system & sap r3 system
    Amresh

  • Is there any possible to send/save the chart by email

    Hi, everyone
    Such as the title said, is there any possible to send the chart graphs by email or any other ways to save the chart(no screenshot)?
    Thanks.
    Regards,
    Minjie

    Thanks for responding Will. Yes I do know how to edit. I have assigned tones to all my contacts for texting and when there is an incoming text the assigned tones all work.
    However it won't work for phoning.  It reverts to default.
    Have removed any double contacts etc etc. 

  • Sending attachments the file extension is dropped, using Mac?

    When I send attachments (various file types) the people receiving the file does not have an extension. Is there a setting on my Mac or Thunderbird that is hiding the extension??

    It's more likely that your recipients have their systems set to "hide extensions for known file types", which is the default Windows setting. Although, it's also possible, for some file types, that TB fails to properly encode the attachment.
    What happens when you send attachments to yourself, or receive attachments from others?

  • Dynamic Send Port : The FILE send adapter cannot open file C:\Temp for writing. Details: Access is denied.

    Hi All,
    We have requirement of using ESB toolkit and transforming incoming xml file to edi format. So, we are using ItinerarySelectReceiveXML pipeline for receiving the input from the input location and "EDISend" pipeline for transforming it into EDI and
    send it to destination.
    Now, the receive pipeline is picking up the file, but it is not processed and sent to destination.
    And, we are getting an Access Denied error from Dynamic send port.
    Error Description : 
    The FILE send adapter cannot open file C:\Users\Public\Temp for writing.
     Details: Access is denied.
    Any Immediate solutions/suggestions are appreciated...Thanks.
    Anand

    The difference between dynamic and static port would be send handler. For dynamic port, default send handler will be used and for static port the one configured on the port will be used, could you validate this configuration.
    Also sometimes, administrative privileges are not "real" administrative privileges and company admins will have limited permissions on C Drive folders. Try writing to some other folder to isolate the issue.
    Regards, Ajeet Kumar MCTS Biztalk Server

  • In  Adobe send is it possible to get sent  a copy of the link address that is sent to the recipient when I send them the file.

    Hi I have been using mailbag file with mixed results hence switching to Adobe Send. One of the only good things with Mail bif file is that it send you an email once you have sent a file telling you it went as well as the address of the link that was sent to the recipient. Is there any way to do thins in Adobe Send. Many thanks

    /Library/Server/Mail/Config/postfix/main.cf.default
    /Library/Server/Mail/Config/postfix/master.cf.default

  • HT3529 Is it possible to send a the same text message to multiple recipients?

    wanting to send the same text message to multiple contacts at the same time? is this possible

    Are you talking about in the contact window? Because when I select a contact in there the contact window appears and the plus sign in the top right is replaced with the edit button?

  • Can someone send me the files in /srv/tftp folder ?

    Hello,
    My company use ZDM, but use ghost for image solution.
    Now I am thinking of replace it to the ZDM image.
    I would need to test it first since the server may didn't install pxe component.
    Now I need the files in /srv/tftp on a ZDM linux server.
    Can someone zip this folder and upload it to me ?
    Thanks!

    Originally Posted by spond
    Aspirer,
    you can just re-run the install, and specify only the PXE component -
    that way you will get the correct version
    Shaun Pond
    Our company use ghost for a long time.
    Now I am trying to persuade my IT boss to replace to the ZENworks one.
    So I can only test from my site.
    by adding ZEN pxe boot menu to existing pxe boot menu.
    Thanks anyway.

  • How to Send a .txt file through FTPTARGET connector of Integration Broker

    Hi,
    Is it possible to send a .txt file(infact anyfile other than xml format) to a host server using FTP Target connector of Integration Broker. If so can someone explain the steps to achieve it.
    Regards,
    Uday

    Yes, you can do that. I've done exactly that couple years ago.
    If I remember currently, below is a high level of how I did it.
    Read the file you want to send into the buffer using GetString function like:
    +/*A single string containing the entire contents of the file including line terminator.+
    +     After this method completes successfully, the temp file is deleted.*/+
    +&FileData = &FiletoRead.GetString();+
    The function deletes the file so I had to create a temp copy of what i want to send and read that copy instead of the original file. Also, IB will have issues if you are trying to send an empty file. so i did a basic check, if the file is empty then put something in it.
    rem -- IB message will fail if we are trying to send an empty file;
    If None(&FileData) Or
    +&FileData = "" Or+
    +&FileData = " " Then+
    MessageBox(0, "", 0, 0, ("File " | &sTargetFileName | " is empty."));
    +&FileData = "No Data Found!";+
    End-If;
    Next, you need to load the file data that are now in &FileData to IB:
    +&MSG = CreateMessage(@&sServiceOperation);+
    +/* Generate the XML doc. */+
    +&dFtp = CreateXmlDoc("");+
    rem -- &bReturn = &dFtp.LoadIBContent("Some text inside of a file to send through IB!");
    +&bReturn = &dFtp.LoadIBContent(&FileData);+
    Then put XML into the message and publish it:
    +/*put the XML in the message*/+
    +&MSG.SetXmlDoc(&dFtp);+
    +%IntBroker.Publish(&MSG);+
    You might need to load some IBConnectorInfo and override them using your PC. I had to do that to get the password for the FTP transmission encrypted:
    /* Encrypt the password */
    &pscipher = CreateJavaObject("com.peoplesoft.pt.integrationgateway.common.EncryptPassword");
    &encPassword = &pscipher.encryptPassword(&sPassword);
    &pscipher = Null;
    Good luck and hope this helps.

  • Sending an Image file via UART

    Hi All,
    1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
    2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
    Meganadhan
     

    thanks ignacio
    i will try it letter
    but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
     and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
    RANDOM BIT
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC);
    end random;
    architecture Behavioral of random is
    signal counter: integer:=0;
    begin
    process (clk)
    begin
    if rising_edge(clk) then
    counter <= counter+1;
    if counter= (g_CLKS_PER_BIT-1) then
    output <= '1';
    elsif counter=55 then
    output <= '0';
    elsif counter=65 then
    output <= '0';
    elsif counter=75 then
    output <= '0';
    elsif counter=85 then
    output <= '1';
    elsif counter=95 then
    output <= '0';
    elsif counter=105 then
    output <= '0';
    elsif counter=115 then
    output <= '0';
    --elsif counter =1 then
    counter <= 0;
    end if;
    end if;
    end process;
    end Behavioral;
    ----------------------------------------------------------------------UART RX
    -- File Downloaded from http://www.nandland.com
    -- This file contains the UART Receiver. This receiver is able to
    -- receive 8 bits of serial data, one start bit, one stop bit,
    -- and no parity bit. When receive is complete o_rx_dv will be
    -- driven high for one clock cycle.
    -- Set Generic g_CLKS_PER_BIT as follows:
    -- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
    -- Example: 10 MHz Clock, 115200 baud UART
    -- (10000000)/(115200) = 87
    library ieee;
    use ieee.std_logic_1164.ALL;
    use ieee.numeric_std.all;
    entity receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end receive;
    architecture rtl of receive is
    type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
    s_RX_STOP_BIT, s_CLEANUP);
    signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
    signal r_RX_DATA_R : std_logic := '0';
    signal r_RX_DATA &colon; std_logic := '0';
    signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
    signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
    signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
    signal r_RX_DV : std_logic := '0';
    begin
    -- Purpose: Double-register the incoming data.
    -- This allows it to be used in the UART RX Clock Domain.
    -- (It removes problems caused by metastabiliy)
    p_SAMPLE : process (i_clk)
    begin
    if rising_edge(i_clk) then
    r_RX_DATA_R <= i_rx_serial;
    r_RX_DATA <= r_RX_DATA_R;
    end if;
    end process p_SAMPLE;
    -- Purpose: Control RX state machine
    p_UART_RX : process (i_clk)
    begin
    if rising_edge(i_clk) then
    case r_SM_MAIN is
    when s_IDLE =>
    r_RX_DV <= '0';
    r_CLK_COUNT <= 0;
    r_BIT_INDEX <= 0;
    if r_RX_DATA = '0' then -- Start bit detected
    r_SM_MAIN <= s_RX_START_BIT;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    -- Check middle of start bit to make sure it's still low
    when s_RX_START_BIT =>
    if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
    if r_RX_DATA = '0' then
    r_CLK_COUNT <= 0; -- reset counter since we found the middle
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    else
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_START_BIT;
    end if;
    -- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
    when s_RX_DATA_BITS =>
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_CLK_COUNT <= 0;
    r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
    -- Check if we have sent out all bits
    if r_BIT_INDEX < 7 then
    r_BIT_INDEX <= r_BIT_INDEX + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_BIT_INDEX <= 0;
    r_SM_MAIN <= s_RX_STOP_BIT;
    end if;
    end if;
    -- Receive Stop bit. Stop bit = 1
    when s_RX_STOP_BIT =>
    -- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_STOP_BIT;
    else
    r_RX_DV <= '1';
    r_CLK_COUNT <= 0;
    r_SM_MAIN <= s_CLEANUP;
    end if;
    -- Stay here 1 clock
    when s_CLEANUP =>
    r_SM_MAIN <= s_IDLE;
    r_RX_DV <= '0';
    when others =>
    r_SM_MAIN <= s_IDLE;
    end case;
    end if;
    end process p_UART_RX;
    o_rx_dv <= r_RX_DV;
    o_rx_byte <= r_RX_BYTE;
    end rtl;
    TOP
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity top is
    port(cl_k: in std_logic
    end top;
    architecture Behavioral of top is
    --component random
    component random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC
    end component;
    --component uart rx
    component receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end component;
    --signal
    signal mlebu:std_logic;
    signal enabl:std_logic;
    signal metu :std_logic_vector (7 downto 0);
    begin
    a:random port map( output=>mlebu,
    clk=>cl_k
    b:receive port map( i_clk=>cl_k,
    i_rx_serial=>mlebu,
    o_rx_dv=>enabl,
    o_rx_byte=>metu
    end Behavioral;
    regards
    halim

  • EREC :  To send automatic confirmation mail

    hi experts,
    Is possible to send automatic confirmation mail to the candidate's e-mail after competing application ?
    can it be done through process template ?
    Is there any standart workflows for this ?
    Regards
    Garayev

    Hi,
    I'd like to add a general thought
    When I learned SAP workflow (I admit, quite some years ago) there was a rule that SAP delivered workflows are in every case only examples you can check to get an impression what the application is capable of but they are never meant for production use. So you should never use SAP workflows in production. You might copy them but I see this as an option only for one or two of the e-recruiting example workflows. The others are just unmaintainable if you add everything for a production scenario.
    Similar rule applies to the SAP entries in customizing tables. While it is quite nice to use the e-recruitng search customizing from standard delivery as it is really a hell of work to do this from scratch, the activity customizing is anywhere between questionable and nonsense. Esp. with EhP 4 and above where SAP changed the application in a way that leads to new design recommendations for the acitvivity customizing but did not change the examples. The way to go is to delete the SAP entries and create a process and activity set really reflecting the project needs. So again the SAP example workflows won't match as you will have your ovn activity types.
    Rgds.
    Roman

  • Sending a pdf file using submit without client email program.

    I need a solution on sending a completed pdf file to my email address without the clients email program poping up.  My website has a small pdf file that users can complete and return to me.  I get many complaints from users stating it requires them to save the file first and then they are unsure were it saved or how to attach it to a email.  To make this easer on my users I want the submit button to simply send me the file using some method from my website.  I use iPage to host the site now.  I was looking for a script to call or some way to do this automaticly.  I was woundering if urs/sbin/sendmail might work.  I am simply at a loss on this.

    Using a sendmail type script will send you the form data in the body of an email message, if the form is set up to submit as "HTML". You can create your own script to attach the form data as an FDF, XFDF, or even the complete PDF, but it's unlikely your provider supplies a script for this, so you'd have some custom programming to do.
    Sending just the form data also avoids the licensing restrictions for Reader-enabled forms. You can easily import an FDF or XFDF into a blank form to create a filled-in form.

  • Column name of custom columns is possible of translating automatic

    In SharePoint 2013 is possible of translating automatic the column name of custom columns with machine translation services or it is necessary create resource files or source site and target site?

    Hi,
    When you create a column, the name you enter is just a constant string. SharePoint does not do translation based on known words. Internationalization is based on resource files.
    Here are the steps I would take for creating international-able columns through the browser:
    Create the column with the name you want as the internal name (ie, no spaces or symbols to avoid
    _x0020_ and other encoding replacements).
    Edit the column by changing the column name to a resource string that you know if defined in each language you want to support.
    Here are similar posts, you can use as a reference:
    https://social.msdn.microsoft.com/Forums/sqlserver/pt-BR/06742b53-ccc9-4d2c-ac61-fbba37e60be1/how-to-translate-custom-column-names-in-the-target-variation-site-in-sharepoint-2013?forum=sharepointdevelopment
    http://sharepoint.stackexchange.com/questions/30226/how-to-display-column-names-in-several-languages-in-sharepoint
    Best Regards,
    Lisa Chen
    TechNet Community Support
    Please remember to mark the replies as answers if they help, and unmark the answers if they provide no help. If you have feedback for TechNet Support, contact
    [email protected]

  • Is it possible to play an audio file out of labview (using myDAQ)?

    Hi all,
    I am using a myDAQ (first time). I am trying to play an audio file at a certain time in my VI.
    All the examples I have seen use the audio in and then play out of the audio out port. Is it not possible to simply find the file location and then play it out of the audio out under the given conditions in the VI or even import the sound? I am not trying to play large files or large quantities such as songs. I only want a congratulatory sound when the task is complete (2/3s).
    Any help would be is appreciated (even to say it isn't possible!)
    Cheers 
    Solved!
    Go to Solution.

    Why no just play a WAV file? Use Play Sound File.vi as shown here.
    Richard

Maybe you are looking for

  • Background task problem with alv grid display

    Hello ! I have a problem when executing my program in background. In foreground I have no problem, my ALV appears. In the background I have a dump. The current ABAP program "SAPLKKBL" had to be terminated because one of the statements could not be ex

  • How to delete User-Agent header field from http request ?

    Hi, I'm currently using HttpUrlConnection to create a HTTP 1.1 POST client, and I wish to remove the User-Agent field from my request. However, java seems to set this field to "java.1.4.2" if none is provided. I have found methods to modify the User-

  • Sharing  my internet from my ibook g4 with my xbox 360

    i know this has been posted on before but i have done everything that the other posts have directed me and with no success.  anyone still doing this or have any ideas what could be going wrong?  i have changed the settings on my xbox and i have gotte

  • Disappear Memo

    This is the first time for me to use it After i took down around one hour's memo, i can see the file was saved on the list(1 hour and sth on the right side) But I find that time turns to 00.00 after 15min, and nth in the memo How can i save the file,

  • Problem wi BBID HELP!!!!

    Hi I got the curve 9360 yesterday and when I go appworld or try to access my I'd etc in options it takes me straight to the verification page. I enter my username and password and everytime it says "enter username associated with smartphone" but what