Vivado simulation - block memory module failure

Hi,
I'm simulating a project with my own IPs. one of the IPs has a block memory generator (8.2).
The simulation stops after 35 ns and tck message:
Block Memory Generator module TOP030815.design_1_i.golgol_0.U0.blk_mem_gen_GOLAY_inst.inst.native_mem_module.blk_mem_gen_v8_2_inst is using a behavioral model for simulation which will not precisely model memory collision behavior.
Failure: ERROR:add_1 must be in range [-1,DEPTH-1]
Time: 35 ns Iteration: 2
$finish called at time : 35 ns : File "../../../project_1.srcs/sources_1/ipshared/ornim.medical/golgol_v1_0/a6138b30/hdl/golgol_v1_0.vhd" Line 93
xsim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:34 . Memory (MB): peak = 980.820 ; gain = 37.723
INFO: [USF-XSim-96] XSim completed. Design snapshot 'TOP030815_behav' loaded.
what is the problem? 
Thanks,
Danna

Did you use the AXI4 interface for the block memory generator IP?
This kind of failure is typically seen when master and slave AXI are not initialized. e.g. when the slave TVALID and TDATA are in an 'U' or 'X' state.
You need to firstly examine the testbench (or the AXI bus drivers) and ensure they're all initialized.
For instance,
  -- Data slave channel signals
  signal s_axis_data_tvalid              : std_logic := '0';  -- payload is valid
  signal s_axis_data_tready              : std_logic := '1';  -- slave is ready
  signal s_axis_data_tdata               : std_logic_vector(15 downto 0) := (others => '0');  -- data payload
  -- Data master channel signals
  signal m_axis_data_tvalid              : std_logic := '0';  -- payload is valid
  signal m_axis_data_tdata               : std_logic_vector(23 downto 0) := (others => '0');  -- data payload

Similar Messages

  • FPGA Compilation fails with Xilinx "Block Memory Generator 8.1" configured with a Coefficient File

    Hello All,
    I have a SubVI that uses block memory, which has been created using Xilinx "Block Memory Generator 8.1". I have configured this block memory to be a "Single Port ROM", and I use a coefficient file to initialize the memory contents. In simulation mode, everything works as it should.
    Next, when I try to compile the top-level VI, I get the following error:
    http://www.cs.nyu.edu/~aditya/Compilation_Log_100.txt
    http://www.cs.nyu.edu/~aditya/Dividing (This is the coefficient file)
    Essentially, the compiler is looking at an ill-formed path to find the coefficient file.
    My environment:
    -- LabView 2014 f1 (32 Bit)
    -- FPGA module 2014
    -- RT Module 2014
    -- Xilinx Vivado 2013.4
    -- NI RIO Drivers 14
    -- Development Machine and Conpile Server: Windows 7 Professional, 32GB RAM
    -- Compile Worker running Linux, with Xilinx Compile tools installed
    Is this a bug, or am I doing something wrong? Thanks!
    Best,
    Aditya

    Next, I tried compiling on the windows machine itself. And here is the error that I get. (See attached image).
    Thanks!
    best,
    aditya
    Attachments:
    Capture.PNG ‏18 KB

  • Memory Test Failure:

    I was digging in my computer drawers for a plastic thing to hold one of my SD cards, and then I found a RAM chip that is 512MB. I need lots of these for the imacs, but I tried to install it, and it didn't work......
    It is a Dell Memory. Make your next upgrade Dell Certified Memory. and there is also the sticker with the M with the circle around it and the small OS9-style font and a bar code.
    It came with one of my imacs!!!!! It was inside it already. I don't remember if I took it out before or after one of the imacs stopped functioning. (also...could that be the cause of the damage of my first 500mhz imac??????)
    I put it in a dark blue 400mhz imac and it has 10.3.9 and 9.2.2 but I have it set to boot into 9.2.2 and it said "Memory Test Failure" or something like that. Everything booted successfully. And then I went to System Profiler. And it did not say 512MB.
    My very first mac was a power mac g3 and it had issues. I thought it would be any number of things. I dismantled it. While I did not have any 512 modules (I want and need lots of them) I decided, reluctlantly, to put in some of the 256mb modules in the imac. And...
    One of the modules caused the imac to never boot. I took that one out. I put another one of them in and instead of the chime, it there was a sound that was similar length, but it sounded like a common stand-alone analog television not recieving any signals. That static noise with the white "snow"?? It sounded like that. "BZZZZ"!! I took that one out. There are two 256mbs, but I want 2 512mbs,
    and...
    THAT is what was wrong with the power mac G3?!?!?!?!?!?! I already took it apart, thinking there wasn't anything easily fixable on it!!!! }=S I guess I will put it together again... But that won't happen until I have some more RAM chips... I do not like 64 mb and 128 mb chips. }=S
    All 4 of the 256mbs were functioning. I bought them as used, and it said that it will fit in g3s. Why are 2 of the 256mbs not functioning any more???? I once had Tiger on Power Mac g3. It is a blue and white g3. Could Tiger's Dashboard have zapped the ram chips??!!!!!!!
    Anyways, for every imac, I would like 2 512 modules. Which ones will work??? Ones that are certified Dell are obviously not. XD
    and will dashboard zap the memory?????? I do not have Tiger installed, but if I install it, will it damage the memory????? =S

    Which iMac do you have? All iMac G3 models only have two memory slots.
    What iMac do you have?
    Query by serial number
    "A serial number is a unique, identifying number or group of numbers and letters assigned to an individual piece of hardware or software. It's used for various things depending on the product / brand but what is your Mac's serial number for and more importantly... what is it hiding and what can it do for you ?"
    http://www.appleserialnumberinfo.com/Desktop/index.php
    or
    http://www.chipmunk.nl/klantenservice/applemodel.html
      ( hint by K Shaffer )
    You could buy an external DVD drive. It needs to be firewire and bootable by Mac OS. If you have another PPC with firewire, you could try target Disk mode and installed tiger that way.
    You need to figure out the level of your firmware before installing 10.2 or greater. ( The PC name for firmware is BIOS. ) Installing 10.2 with a down level firmware will most likely make your iMac unusable and difficult to fix.
    Open Firmware, boot into Open Firmware.
    Power on your iMac while holding down commandoption+of
    The first output line contains the firmware level. Mine reads:
    Apple PowerMac4,1 4.1.9f1 BootRom built on 09/14/01 at 13.18.04
    Copyright 1994-2001 Apple Computer Inc.
    On my machine, I have 4.1.9f1.
    What firmware do you need?
    http://docs.info.apple.com/article.html?artnum=86117
    For a slot loading iMac, this article indicates that you need to be running 9.1 or later version of Mac OS Classic.
    http://docs.info.apple.com/article.html?artnum=75130
    "The iMac Firmware Update 4.1.9 will only run on iMac computers with lot-loading CD or DVD drives running Mac OS 9.1 or later from a local drive. If you are using Mac OS X you must boot from a local Mac OS 9.1 or later writeable partition (not a CD, or network disk) prior to following the update instructions."
    You can download the Mac OS 9 updates from the Apple site.
    http://support.apple.com/kb/HT1387?viewlocale=en_US
    For tiger, you need 256meg of memory.

  • IMac G5 system hang - 2 bad 1GB memory modules?

    I am experiencing intermittent system hangs, requiring power off / on to recover. Applications run fine for hours, then everything freezes; a few seconds later the fans spool up full bore. There seems to be no logic to the failure. It happens on many different applications, including Disk Utility. No new software has been added recently (other than Apple software updates). This has been occuring for several weeks.
    I repair permissions & run disk verify. When disk utility runs completely without hanging (on verify), everything is ok.
    Applecare had me trash the ~/Library/Caches & system caches. No joy. They recommended archive & install beginning with Panther. Reinstall went ok until downloading updates for 10.4.8, then hang (might this suggest a hardware prob?).
    Rebooted in target firewire mode the cloned drive, then zero'ed the G5 HDD and restored from clone (SuperDuper!). Everything appeared ok for several days, then the same system hang reappeared. I should mention that in the course of sudying this one, I discovered Virex 7.5 was sucking the processing life from the G5; used the uninstaller to get rid of it & the iMac is quiet again!
    I have run the Applecare version of Techtools and the Hardware Test Disk, everything passes.
    I could do a clean install of Tiger, then use migration assistant to move data from the clone...if I felt it had a chance to solve it. On the other hand, maybe its a flaky hardware gremlin and I'm wasting my time doing reinstalls, and I should hustle it over to the local Apple store.
    Update - took iMac for service at Apple store where it failed under stress test with my 2 GB of memory but ran for an extended period with Apple memory. In an attempt to isolate which of the two 1 GB modules was bad, removed one module. Identical failure after 24 hrs. Howver, swapping out that failing module with the second module, identical hand. That suggests either both 1 GB modules are bad (unikely), or some other hardware issue is the problem.
    Problem seems to occur most often when starting playing iTunes, or running Disk Utility (repair permissions).
    I suppose the next step is to buy 1 GB of memory from Apple and make it fail again. Any suggestions?

    Thank you for your posts, I appreciate it.
    Skip - I tried a spare keyboard & mouse. Still failed.
    MGW - all software is up to date.
    DaddyPaycheck - I downloaded memtestosx. It fails testing each 1GB memory module separately (in different sockets). I'm running in multiuser mode and when it fails the entire iMac freezes up (just like it always does), requiring power off / power on. Is this normal behavior when the memtestosx test fails?
    I will plan to test in single user mode as the supplied doc states that if the onboard cache is failing it would pass singleuser (cache apparently disabled in singleuser mode) but fail multiuser. Maybe the onboard cache is bad?
    Thanks.

  • Msi Big Bang Xpower II can only run with 3 memory modules?

    Hello there! I've just got my new system up and running. But it cant boot with 4 memory modules when there is a module in slot 7? I have a 4x8gb kit from corsair (CMP32GX3M4X1600C10), and a Msi Big Bang Xpower II..
    When i start it, it just shows me the debug code "67" which is "Late Cpu Initialization" according to the "User Guide".. It stays there, for about 15 seconds, then it shuts down, and does the same, over and over..
    Atm, i'm running with 3 modules, and there's no problems at all! I've testet ALL memory blocks, in another computer, and they run just fine..
    What should i do?

    Quote from: xmad on 25-September-12, 21:09:52
    Also, what cpu, bios version , memory type etc
    Make sure the mem mods are in the proper slots for tri channel operation.
    >>Posting Guide<<
    If everything comes up clean, update to the most recent bios. Only do this if your computer is stable in bios. IE You are only having crashes in windows etc.
    >>Use the MSI HQ Forum USB flasher<<
    http://www.msi.com/product/mb/Big-Bang-XPower-II.html#/?div=BIOS
    **Merged
    Core i7 3930K, V1.2 Bios, 32GB Corsair Dominator Quad channel 1600Mhz Kit.. The memory are installed as the manual says..

  • ICMP Timeout Alarm due to TCP Protocol Memory Allocation Failure ?

    Hello Experts ,
      >> Device uptime suggests there was no reboot
    ABCSwitch uptime is 28 weeks, 13 hours, 50 minutes
    System returned to ROM by power-on
    System restarted at 13:09:45 UTC Mon Aug 5 2013
    System image file is "flash:c2950-i6k2l2q4-mz.121-22.EA12.bin"
    >> But observed logs mentioning Memory Allocation Failure for TCP Protocol Process ( Process ID 43) due to Memory Fragmentation
    003943: Feb 18 02:14:27.393 UTC: %SYS-2-MALLOCFAIL: Memory allocation of 36000 bytes failed from 0x801E876C, alignment 0
    Pool: Processor Free: 120384 Cause: Memory fragmentation
    Alternate Pool: I/O Free: 682800 Cause: Memory fragmentation
    -Process= "TCP Protocols", ipl= 0, pid= 43
    -Traceback= 801C422C 801C9ED0 801C5264 801E8774 801E4CDC 801D9A8C 8022E324 8022E4BC
    003944: Feb 18 02:14:27.397 UTC: %SYS-2-CFORKMEM: Process creation of TCP Command failed (no memory).
    -Process= "TCP Protocols", ipl= 0, pid= 43
    -Traceback= 801E4D54 801D9A8C 8022E324 8022E4BC
    According to Cisco documentation for Troubleshooting Memory issues on Cisco IOS 12.1 (http://www.cisco.com/c/en/us/support/docs/ios-nx-os-software/ios-software-releases-121-mainline/6507-mallocfail.html#tshoot4 ), which suggests the TCP Protocols Process could not be started due to Memory being fragmented
    Memory Fragmentation Problem or Bug
    This situation means that a process has consumed a large amount of processor memory and then released most or all of it, leaving fragments of memory still allocated either by this process, or by other processes that allocated memory during the problem. If the same event occurs several times, the memory may fragment into very small blocks, to the point where all processes requiring a larger block of memory cannot get the amount of memory that they need. This may affect router operation to the extent that you cannot connect to the router and get a prompt if the memory is badly fragmented.
    This problem is characterized by a low value in the "Largest" column (under 20,000 bytes) of the show memory command, but a sufficient value in the "Freed" column (1MB or more), or some other wide disparity between the two columns. This may happen when the router gets very low on memory, since there is no defragmentation routine in the IOS.
    If you suspect memory fragmentation, shut down some interfaces. This may free the fragmented blocks. If this works, the memory is behaving normally, and all you have to do is add more memory. If shutting down interfaces doesn't help, it may be a bug. The best course of action is to contact your Cisco support representative with the information you have collected.
    >>Further TCP -3- FORKFAIL logs were seen
    003945: Feb 18 02:14:27.401 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003946: Feb 18 02:14:27.585 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003947: Feb 18 02:14:27.761 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003948: Feb 18 02:14:27.929 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003949: Feb 18 02:14:29.149 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    According to Error Explanation from Cisco Documentation (http://www.cisco.com/c/en/us/td/docs/ios/12_2sx/system/messages/122sxsms/sm2sx09.html#wp1022051)
    suggests the TCP handles from a client could not be created or initialized
    Error Message %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    Explanation The system failed to create a process to handle requests  from a client. This condition could be caused by insufficient  memory.
    Recommended Action Reduce other system activity to ease  memory demands.
    But I am still not sure about the exact root cause is as
    1.The GET/GETNEXT / GET BULK messages from SNMP Manager (Here, IBM Tivoli Netcool  ) uses default SNMP Port 161 which is
       UDP and not TCP
    2. If its ICMP Polling failure from IBM Tivoli Netcool , ICMP is Protocol Number 1 in Internet Layer of TCP/IP Protocol Suite  and TCP is Protocol                 Number 6 in the Transport Layer of TCP/IP Protocol Suite .
    So I am still not sure how TCP Protocol Process Failure could have caused ICMP Timeout . Please help !
    Could you please help me on what TCP Protocol Process handles in a Cisco Switch ?
    Regards,
    Anup

    Hello Experts ,
      >> Device uptime suggests there was no reboot
    ABCSwitch uptime is 28 weeks, 13 hours, 50 minutes
    System returned to ROM by power-on
    System restarted at 13:09:45 UTC Mon Aug 5 2013
    System image file is "flash:c2950-i6k2l2q4-mz.121-22.EA12.bin"
    >> But observed logs mentioning Memory Allocation Failure for TCP Protocol Process ( Process ID 43) due to Memory Fragmentation
    003943: Feb 18 02:14:27.393 UTC: %SYS-2-MALLOCFAIL: Memory allocation of 36000 bytes failed from 0x801E876C, alignment 0
    Pool: Processor Free: 120384 Cause: Memory fragmentation
    Alternate Pool: I/O Free: 682800 Cause: Memory fragmentation
    -Process= "TCP Protocols", ipl= 0, pid= 43
    -Traceback= 801C422C 801C9ED0 801C5264 801E8774 801E4CDC 801D9A8C 8022E324 8022E4BC
    003944: Feb 18 02:14:27.397 UTC: %SYS-2-CFORKMEM: Process creation of TCP Command failed (no memory).
    -Process= "TCP Protocols", ipl= 0, pid= 43
    -Traceback= 801E4D54 801D9A8C 8022E324 8022E4BC
    According to Cisco documentation for Troubleshooting Memory issues on Cisco IOS 12.1 (http://www.cisco.com/c/en/us/support/docs/ios-nx-os-software/ios-software-releases-121-mainline/6507-mallocfail.html#tshoot4 ), which suggests the TCP Protocols Process could not be started due to Memory being fragmented
    Memory Fragmentation Problem or Bug
    This situation means that a process has consumed a large amount of processor memory and then released most or all of it, leaving fragments of memory still allocated either by this process, or by other processes that allocated memory during the problem. If the same event occurs several times, the memory may fragment into very small blocks, to the point where all processes requiring a larger block of memory cannot get the amount of memory that they need. This may affect router operation to the extent that you cannot connect to the router and get a prompt if the memory is badly fragmented.
    This problem is characterized by a low value in the "Largest" column (under 20,000 bytes) of the show memory command, but a sufficient value in the "Freed" column (1MB or more), or some other wide disparity between the two columns. This may happen when the router gets very low on memory, since there is no defragmentation routine in the IOS.
    If you suspect memory fragmentation, shut down some interfaces. This may free the fragmented blocks. If this works, the memory is behaving normally, and all you have to do is add more memory. If shutting down interfaces doesn't help, it may be a bug. The best course of action is to contact your Cisco support representative with the information you have collected.
    >>Further TCP -3- FORKFAIL logs were seen
    003945: Feb 18 02:14:27.401 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003946: Feb 18 02:14:27.585 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003947: Feb 18 02:14:27.761 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003948: Feb 18 02:14:27.929 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    003949: Feb 18 02:14:29.149 UTC: %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    -Traceback= 8022E33C 8022E4BC
    According to Error Explanation from Cisco Documentation (http://www.cisco.com/c/en/us/td/docs/ios/12_2sx/system/messages/122sxsms/sm2sx09.html#wp1022051)
    suggests the TCP handles from a client could not be created or initialized
    Error Message %TCP-3-FORKFAIL: Failed to start a process to negotiate options.
    Explanation The system failed to create a process to handle requests  from a client. This condition could be caused by insufficient  memory.
    Recommended Action Reduce other system activity to ease  memory demands.
    But I am still not sure about the exact root cause is as
    1.The GET/GETNEXT / GET BULK messages from SNMP Manager (Here, IBM Tivoli Netcool  ) uses default SNMP Port 161 which is
       UDP and not TCP
    2. If its ICMP Polling failure from IBM Tivoli Netcool , ICMP is Protocol Number 1 in Internet Layer of TCP/IP Protocol Suite  and TCP is Protocol                 Number 6 in the Transport Layer of TCP/IP Protocol Suite .
    So I am still not sure how TCP Protocol Process Failure could have caused ICMP Timeout . Please help !
    Could you please help me on what TCP Protocol Process handles in a Cisco Switch ?
    Regards,
    Anup

  • TS1587 I tried everything, except for reinstalling the SO-DIMM memory modules. Still, my iMac will not wake up. Turning it on you can hear the fan run, but the display stays dark. Any ideas what to do? Thanks Maja

    My iMac won't start up, or wake from sleep.  I've tried everything, except for reinstalling the SO-DIMM memory modules. Still, my iMac will not wake up.  Turning it on, you can hear the fan run, but the display stays dark.  Any ideas what to do?  Thanks!

    Mac doesn't boot
    Can you hear the fans and hard drive and see the white boot screen? Flashing question mark? The circle just runs and nothing happens? Only black screen and nothing else? These can be very helpful to diagnose the source of the problem. [1]
    Flashing question mark [2]: This is usually a sign of a failed hard drive. This indicates that boot up volume can not be found.
    Black screen: This is usually a sign of a failed logic board. There is no single reason for this and this may be caused by failed GPU, screen, CPU or RAM.
    Circle of death/no circle/blue screen: This is usually a sign of a hard drive failure. This may NOT be a dead hard drive, just broken permissions or something
    Gray screen/Apple logo/spinning gear or globe/prohibitory sign/folder with flashing question mark [3]: This could be a sign of faulty hardware but more likely a software issue, i.e. broken permissions, failed software update, etc.
    [edit]
    What to do
    First, hold down the option key (also known as the alt key) just after you've pressed the power button. This should show all the available options to boot from, so if you have Windows installed through Bootcamp or a disk in your SuperDrive, you should be able to see them along with your OS X drive/partition. Select the OS X partition with arrow keys and press enter. Startup key combinations
    If that doesn't help continue doing the steps from below:
    1. SMC reset [4]. Shut down and unplug all cables including power cord for at least 30 seconds and then plug in power cord and keyboard/mouse.
    2. PRAM reset [5]. Hold down option, command, P and R while booting. Start it when you hear the sound and don't let go before it reboots.
    3. Safe boot [6]. Hold down shift while booting to boot into safe mode. If this works, open Disk Utility from Utilities and repair your permissions and verify the disk
    4. Hardware test [7]. Insert the install disk (disk 1 in Mac which shipped with OS X 10.5.4 or earlier, disk 2 if shipped with OS X 10.5.5 or newer) and hold down "D" before the grey boot screen opens. Follow on screen instructions
    5. Boot from install disk. Boot from the disk that came with your Mac. Hold C while booting. Select Disk Utility from top bar and repair permissions and verify the disk. Reboot normally and see if it works now.
    6. Boot from another Mac (Firewire target disk mode). Connect the machines with a Firewire cable. Power on your Mac and press T. Select the other Mac's hard drive. Download the current OS X combo update. Run the combo update installer and select the drive that won't boot as the destination.
    7. "Repair" OS X. Boot from the install disk as in point 5. and reinstall OS X. This should NOT erase any data, just replaces the system files which may have caused your booting problem. Now install the latest combo update for OS X and repair permissions on your drive with Disk Utility.
    7.1. Install OS X into an external hard drive and then boot from it [8] and try to copy your data from the hard drive before proceeding. If you have done a backup recently, you don't have to do this.
    8. Restore OS X from Time Machine backup. Boot from the disk that came with your Mac and choose the Time Machine restore option. This step will lose any changes made since your last backup but the system and your data will be put back to exactly as it was on that date.
    8.1 Reinstall OS X. Boot from the install disk as in point 5. and select Disk Utility again. Now erase the whole hard drive and install OS X again. If you had a Time Machine backup and step 7 worked you don't have to do this.

  • 10.4.2 not recognizing extra memory module

    I upgraded recently to 10.4.2, and now one of my memory modules is not recognized. the machine has the original 512M chip in the upper slot, but the 512M chip in the lower slot is not recognized. in the system profiler under hardware/diagnostics, i get:
    Power On Self-Test:
    Last Run:     7/15/05 7:57 PM
    Result:     Failed
    Failure type:     Memory
    Memory slot:     SODIMM0/J25LOWER
    anyone have any idea what's going on??? thx.

    "However, I have another problem in that there is no startup chime on my machine, and hasn't been for a while"
    Same problem with my PowerBook G4 - 1.5GHz - 512MB, only since the chime problem (not hearing it any more) has the problem with not recognizing the lower memory slot. Not certain if the two problems are related. So it seems from the discussions hear there are two solutions, $600 to repair, or purchase more memory to run in the upper slot. I do have to agree that it would be futile in my opinion to pay for the repair if the same problem emerges again, (as has been reported, at least in the forums,) meaning it could cost $1200 to fix (maybe).
    "I think that terming the logic board, "fried" overstates the issue. In fact, the logic board itself is probably in good working order, thus its ability to report on the state of the lower RAM slot. The real issue is the quality of the connection from the lower slot to the logic board--essentially a bad solder. This connection can't be resoldered, so the logic board needs to be replaced."
    This statement actually makes more sense then anything I have read so far.

  • Simulation error : size mismatch in mixed language port association with VIVADO simulator

    Hi,
    I have instantiated a VHDL module in  a verilog top file . When I tried to simulate the verilog top , I received the following error .
    ERROR : Size mismatch in mixed language port association , vhdl port  vid_data
    (Simulation tool : VIVADO simulator . VIVADO ver : 2015.1)
    // Following is the instantiation of  VHDL module in verilog top file
    VPS  VPS_inst 
         .clk (VPS_clk),
         .reset_n(~user_reset),
         .vid_active_video(data_valid),
         .vid_data(data_to_mem)
    The port 'vid_data' is declared in the VHDL module as std_logic_vector (15 downto 0)
    "vid_data   : out std_logic_vector(15 downto 0)"
    'data_to_mem' is declared in verilog top file as  "wire  [15:0]   data_to_mem" . 
    No size mismatch exists actually . But , I am getting the above mentioned error in simulation.
    I have searched for similar threads . Nothing was useful . 
    Does anyone know how to solve this?
    Thanks and Regards
    Raisa
     

    You might also get this error if you mis-spelled "data_to_mem" such that the declaration did not match the instantiation port map.  For example:
    wire  [15:0] data__to_mem;  // double underscore before "to"
    VPS  VPS_inst 
         .clk (VPS_clk),
         .reset_n(~user_reset),
         .vid_active_video(data_valid),
         .vid_data(data_to_mem)  // only one underscore before "to"
    In Verilog this is not an error unless you disable automatic net inference.  In this case Verilog is happy to create a single wire for data_to_mem, but then you would be trying to attach a 1-bit wire to a 16-bit port.  That would also be valid in Verilog, but not allowed for connections to VHDL.
    I typically avoid this sort of error by placing:
    `default_nettype none
    at the top of each Verilog file, and
    `default_nettype wire
    at the bottom of each Verilog file.  This prevents the automatic creation of wires when you mis-spell or forget to declare nets.

  • Problem with memory module

    The problem with upgrade memory module.
    Available:
      Cisco 2951 router with the default memory module 512Mb.
    Additionally purchased:
      Memory Module MEM-2951-1GB (SG572288FG8P6ILMH4)
      Problem Description:
      When you start seeing a hardware router modules, after loading the ROMMON
      reading failure IOS image
      The first module is worth the default 512M, 1G second unit acquired
      The permutation modules as well as single use module 1G situation has not changed.
    Work whether the module supplier sold me?

    It shouldn't cost you a fortune, John.  I usually find Apple re-sellers very obliging on matters like this.  Where did you buy your memory from?   If it was Apple retail they may help and explain about the residue at the same time.
    It's not unusual to meet difficulties with the fitting of memory but the residue is something I haven't met before.  

  • How to interface AXI BRAM Controller with Block Memory generator in Single Port ROM(standalone mode)

    Hi,
    I initialized the block memory with coe file to use it as single port ROM in standalone mode. So, the data width of ROM is 32 bits and depth of 62000(this would need 16 bit address for reading).  AXI BRAM controller was instantiated in Block design and data width was set to 32.
    But upon synthesis, I get following error
    [BD 41-1228] Width mismatch when connecting input pin '/blk_mem_gen_0/addra'(16) to net 'axi_bram_ctrl_0_bram_addr_a'(14) - Only lower order bits will be connected, and other input bits of this pin will be left unconnected.
    So, the problem is BRAM controller 's interface which has bram_addr_a of only 14 bits. This is strange. I don't know how bram_addr_a width is determined?

    ,
    Are you sure you have 62000 x 32-bits in your coe and not just 62000 bytes? That could explain the 2-bit difference. 8-bit bytes versus 32-bit words.
    I tried this with Block Memory Generator 8.2 (Vivado 2015.1) and looks ok. Setting up a Single-Port ROM with 32 width and 62000 depth shows addres Width A: 16 in the Summary and the generated files show 16 bit address as well:
    -bisector

  • Quickie Benchmark: Modelsim vs. Vivado Simulator

    Guys,
    In the hopes of finding a faster simulator I ran an unscientific benchmark on a portion of my design.
    I ran for 100,000 clock cycles which is enough to get a few hundred result samples.  Both simulators were run in interactive mode with the wave window open. Both simulators were run in their standard configuration without optimizations for speed. My design is FFT core heavy. Input and output is by textio.
    Run Times:
    Vivado Simulator = 3 minutes 0 seconds.
    Modelsim = 4 minutes 40 seconds
    Load times (startup) were about the same for both simulators.
    My conclusion is that I cannot reduce simulation times dramatically by just switching from Vivado Simulator to Modelsim.
     

    I have some more info on the long simulation time for FFT heavy simulations.
    Early in the development process I wanted to be able to display memory contents while debugging.  By default Vivado Simulator does not provide visibility into memories.  You have to set a property in order to make memory contents visible.
    set_property -name {xsim.elaborate.debug_level} -value {all} -objects [current_fileset -simset]
    I suspected that turning on this property was slowing down my simulation so I ran the same simulation with it enabled and with it commented out.
    Simulation time in my small experiment was reduced from 40 seconds to 8 seconds by commenting out this tcl command, a factor of 5 improvement.  I don't know if this improvement scales to long simulations but I suspect so.
    Beware of this setting when running long simulations.
     

  • Can we have a flush cach button in Vivado simulator PLEASE

    Im getting regularly a problem where what vivado seems to be working on is no the files edited externaly 
       no matter how long i leave vivado to catch up,
    just had oen where I went for lunch for 30 minutes, and the same symptoms, 
        the way to 'fix' this when one notices is to exit vivado, delete the cach and sim folders, and re start vivado.
    What I'm doing is a lot of testing / what iff stuff in vivado simualtor.
    lots of changing a few bits of code, and run the simulator, to see on gui and files written to the changes, 
       a lot of what if stuff.
    So yes I'm doing lots of "re launch simulator " button pressing.
    file are edited outside of Vivado, in fact the source VHDL files are not on the vivado machine, and the editing is done via another machine that the source is stored on.
    The symptoms are, you do a change to the RTL , and press the re launch, and the output of the sim you get looks like it has not changed, when you expected it to have. 
    you do a few more significant changes, and re launch, and the same. the output has not changed, 
       Vivado is using the files it has 'in memory'.
    If I quit, clear and re launch, I find that the simulator declares an error. 
        this las time I had missed off a ; of an end of lin in vhdl.
    obvious, BUT
       when I was doing re launch, vivado was NOT flaging that up, but carrying on and giving us a new GUI , but using the old RTL.
    It just seems that the CACHE gets out of sync. But vivado simulator seems to compile all files every time it re launches,
       confusing.
     

    Yes I think this makes sense. I will check if we can file a enhancement for this.
    Thanks for bringing this to us.
    Regards
    Sikta

  • Mixing memory modules on Westmere

    Dear Hardware gurus,
    I have a 12-Cores Westmere. Do you know is it possible to mix different memory modules on it, namely:
    6x1 Gb 1330Mhz
    +
    2x4 Gb 1066Mhz
    and if yes, in which configuration?
    Thanks a lot for any comment!

    Kappy, thanks for the note.
    I am actually going to order some more 1333 modules, but just for the short time I have to work with what I have currently (see my original post).
    As I posted above, I tried a bit already to combine the modules and Mac doesn't boot
    Can you suggest a configuration, which will work?

  • Memory modules and hard drive not recognized

    I removed and reinserted the factory installed Satellite L505D-LS5007 laptop hard drive and memory modules just as practice for installing a hard drive upgrade and an 8GB memory upgrade. The problem is obviously with the memory and not with the hard drive.
    After the first practice try, one memory module was recognized. Also for a few seconds after the first practice try, I ran the PC with the battery installed, but no memory installed. I did not look in the User's Guide first to see that I should have removed the battery before removing the memory.
    Now the System Indicator Lights for the HDD Activity and Memory Card Reader do not light up. The PC turns on and the display is solid black with no messages.
    Before buying new memory modules, what possible ways might there be to get the PC to recognize the factory installed memory modules and hard drive again?
    Solved!
    Go to Solution.

    When troubleshooting, I always change one variable at a time. I would hate to miss a solution by being in a hurry. In this case, you may have damaged either the RAM or the RAM slot. I would stick with the Toshiba specifications for the RAM, making sure it is the correct size, speed and type recommended. I also recommend that when you replace the RAM that both modules be from the same manufacturer, size, and specification. Try one RAM module in one slot and then the other. And then try both RAM modules in both slots. After the testing you should be able to figure if this is a RAM problem or a RAM slot problem.
    In the case of hard drives, the real test is whether or not the Bios sees the hard drive. If the Bios doesn't see the hard drive, it's not going to work. The Bios should see the hard drive whether or not the hard drive is partitioned, formatted or has data on it. My experience has been that many Toshiba laptops will work fine with larger capacity hard drives provided they are the same speed in RPMs, have the same interfacing connection and physically the same size.
    Again, prior to doing any work on your computer, make sure that both the AC power has been disconnected and the battery has been removed. Be careful out there. A blown repair job by a non-Toshiba tech is NOT covered under warranty.

Maybe you are looking for

  • S video to LCD TV, PLEASE HELP!!

    I've been racking my brain and trying to figure this out but i cant. I bought the dynex mini dvi to s video and an am trying to hook it up to my LCD tv. I've connected everything and it doesn't quite seem to work. Currently i have a black and white h

  • Suggestions Pls | Web Service Requirement

    Hi, We have a requirement that C4C Standard BO - Standard Inbound Web Service to be called from External Non SAP System say X. Now before the Web Service post anything to Cloud directly, we want to involve Custom logic/code to determine certain infor

  • XServer does not start on my Notebook

    Hi Guys! I just want to try Solaris, so I tried to install it on my Notebook. It is an Asus A3, but after installing and then running the system, the XServer just show a colourful screen. I don't know what to do. On Unix-like (i tried it with some Li

  • N80 phone recovery

    Every time i try to update my n80 with nsu the phone recovery message comes up. I take out the battery and charger, put them back in and select pc suite on the phone, then click retry in nsu. The same problem then happens again. How can i update the

  • Aiport express dead.

    My airport express, in use since summer 2004, is dead. No lights, nothing. I cannot see it on my mac. I checked the power from working outlets - nothing. Tried reset button. Is there something else I can do, or is it over for my airport express? Than