VM placement error 617

No matter what I do, I cannot get VM placement to change. I have two hosts that were once part of a cluster. I destroyed the cluster. However, the VM placement path when I deploy service templates is still the clusterstorage folder on C:. Even if I manually
edit the vm placement property on both hosts, or if I destroy and recreate the service template - it doesn't update. the only way I got this to start working right is I removed the hosts and readded them.  My question is - is there another way to update
the ACTUAL vm placement path in a host without having to add the host again? Thanks
Jason Yates

No matter what I do, I cannot get VM placement to change. I have two hosts that were once part of a cluster. I destroyed the cluster. However, the VM placement path when I deploy service templates is still the clusterstorage folder on C:. Even if I manually
edit the vm placement property on both hosts, or if I destroy and recreate the service template - it doesn't update. the only way I got this to start working right is I removed the hosts and readded them.  My question is - is there another way to update
the ACTUAL vm placement path in a host without having to add the host again? Thanks
Jason Yates

Similar Messages

  • CRS-0223: Resource has placement error, when starting DB instance

    Hello All,
    Env: 2 node 10.2.0.4 RAC on Solaris 10 SPARC
    All of a sudden the DB instance on Node 2 crashed. The alert.log says "Instance terminated by MMAN".
    There were no changes recently.
    I tried to start it up using srvctl and it failed with
    [SHCL1N02]$ srvctl start instance -d SHCL1_PRMY -i SHCL1N02
    PRKP-1001 : Error starting instance SHCL1N02 on node myjpsuolicdbd02
    CRS-1028: Dependency analysis failed because of:
    CRS-0223: Resource 'ora.SHCL1_prmy.SHCL1N02.inst' has placement error.
    [SHCL1N02]$The nodeapps and crs processes are all running happily, the instance alone has a problem.
    I tried
    (1)Stop nodeapps, Stop CRS, Start CRS => Still instance on node2 didn't come up.
    (2)sqlplus / as sysdba, startup => Instance came up, but the ora.SHCL1_prmy.SHCL1N02.inst is still offline.
    Below is the error in the crsd.log file.
    2009-11-04 10:18:30.627: [  CRSRES][2970821] CRS-1028: Dependency analysis failed because of:
    'Resource in UNKNOWN state: ora.SHCL1_prmy.SHCL1N02.inst'
    2009-11-04 10:21:23.269: [  CRSRES][2970843] StopResource: setting CLI values
    2009-11-04 10:21:23.340: [  CRSRES][2970843] Attempting to stop `ora.SHCL1_prmy.SHCL1N02.inst` on member `myjpsuolicdbd02`
    2009-11-04 10:21:30.478: [  CRSAPP][2970843] StopResource error for ora.SHCL1_prmy.SHCL1N02.inst error code = 1
    2009-11-04 10:21:30.502: [  CRSRES][2970843] Stop of `ora.SHCL1_prmy.SHCL1N02.inst` on member `myjpsuolicdbd02` succeeded.
    2009-11-04 10:21:49.867: [  CRSRES][2970861] startRunnable: setting CLI values
    2009-11-04 10:21:49.895: [  CRSRES][2970861] Attempting to start `ora.SHCL1_prmy.SHCL1N02.inst` on member `myjpsuolicdbd02`
    2009-11-04 10:21:55.019: [  CRSAPP][2970861] StartResource error for ora.SHCL1_prmy.SHCL1N02.inst error code = 1
    2009-11-04 10:22:00.583: [  CRSAPP][2970861] StopResource error for ora.SHCL1_prmy.SHCL1N02.inst error code = 1
    2009-11-04 10:22:00.592: [  CRSRES][2970861] X_OP_StopResourceFailed : Stop Resource failed
    (File: rti.cpp, line: 1803
    2009-11-04 10:22:00.593: [  CRSRES][2970861][ALERT] `ora.SHCL1_prmy.SHCL1N02.inst` on member `myjpsuolicdbd02` has experienced an unrecoverable failure.
    2009-11-04 10:22:00.593: [  CRSRES][2970861] Human intervention required to resume its availability.Any clue would be much helpful.
    TIA,
    JJ

    The srvctl failed 'coz of my problem(over security).
    /u01/app/oracle/product/10.2.0/db_1/log/<node_name>/racg/imon_SHCL1_prmy.log
    (thanks to support guy to taking me to this file.)
    In this file i saw the below error and it struck me.
    SQL*Plus: Release 10.2.0.4.0 - Production on Wed Nov 4 18:59:49 2009
    Copyright (c) 1982, 2007, Oracle.  All Rights Reserved.
    Enter user-name: ERROR:
    ORA-01031: insufficient privileges
    Enter user-name: SP2-0306: Invalid option.
    2009-11-04 18:59:50.737: [    RACG][176] [28813][176][ora.SHCL1_prmy.SHCL1N02.inst]: Usage: CONN[ECT] [logon] [AS {SYSDBA|SYSOPER}]
    where <logon>  ::= <username>[/<password>][@<connect_identifier>] | /
    Enter user-name: Enter password:
    ERROR:
    ORA-01005: null password given; logon denied
    2009-11-04 18:59:50.738: [    RACG][176] [28813][176][ora.SHCL1_prmy.SHCL1N02.inst]: SP2-0157: unable to CONNECT to ORACLE after 3 attempt
    s, exiting SQL*PlusI had SQLNET.AUTHENTICATION_SERVICES=(NONE) in the sqlnet.ora, and this stopped the srvctl from logging into the db.
    1)Commented the entry in sqlnet.ora
    2)crs_stop -f ora.SHCL1_prmy.SHCL1N02.inst
    3)crs_stat -u => to confirm that the TARGET and STATE are OFFLINE for ora.SHCL1_prmy.SHCL1N02.inst
    4)srvctl start instance -d SHCL1_PRMY -i SHCL1N02
    and the instance came up.
    They would investigate on regarding the ORA-7445 error.
    Regards,
    J J

  • CRS-0223: Resource x has placement error.

    hi all
    i have rac 11.2 rhe5 over asm
    database stopped running
    as i guess asm has problem. i can read and write files on it but oracle instance cant
    i tride to restart resource but i have an eroor
    CRS-0223: Resource x has placement error.
    can someone help me ?

    Dear soso_xuc,
    It is impossible even for a highly skilled artificial intelligent device to analyze your problem with the given details below;
    +"+
    +error occurs+
    +saying can't identify some file on asm+
    +"+
    Regards.
    Ogan

  • Placement errors - what are they?

    Hi,
    Can anyone tell me what a 'placement error' is?? Some of my Cluster services (gsd, ons, listeners) are failing to start after a reboot, and I keep seeing mention of placement errors.
    Thanks,
    Thom
    Message was edited by:
    user470053

    In case this helps anyone else...
    I fixed my problem by stopping and starting the CRS on the rebooted node
    # ./crsctl stop crs
    # ./crsctl start crs
    I was then able to start the nodeapps without it complaining about 'placement errors'
    # ./srvctl start nodeapps -n raclinux1
    Thom

  • [CS3] [JS] Picture placement error

    Hi,
    I've created a script, creating a contactsheet from a folder of pictures.
    Everything works fine except for one small detail.
    When importing an illustrator document which uses missing fonts, the script trows a messagebox asking me if its ok to place the picture with the missing fonts.
    Is this something I can override ? The picures must be placed, even if the fonts are missing.
    ... some code ...
    var myRectangle2 = doc.pages[doc.pages.count() - 1].rectangles.add();
    myRectangle2.place(arrFiles[s]);
    myRectangle2.fit(FitOptions.proportionally);
    Thanx
    Tim
    Indesign CS3 / Os X

    If you positively want to suppress user interaction, inserting
    >app.scriptPreferences.userInteractionLevel = UserInteractionLevels.neverInteract;
    somewhere before the optionally interacting command should work. Add
    >app.scriptPreferences.userInteractionLevel = UserInteractionLevels.interactWithAll;
    after it, otherwise you will
    b never
    get any dialogs after running the script. A common mistake, which has baffled many a script user. I guess it's safest to put the "Off" line directly before, and the "On" line directly after the optionally interacting command (in your case the .place line). That way you still get error messages and dialogs for those cases you did not foresee. This will still mess up your settings if for some reason InDesign crashes and burns on the .place command itself, so you should have a single line script ready that just contains the "On" line.

  • "value cannot have more than 0 decimal places" error

    CRM gurus,
    Whenever I try to create a Counter, I receive the following warning which doesn't allow me to do anything further..
    "<b>value cannot have more than 0 decimal places"</b>
    I first received this when I tried to assign a counter to a product in an Installed Base. So when I tried creating a counter here, I got the above message. I then tried to assign a counter to the product in the product master data, and I still received the same error message. I then tried creating a counter from the "Maintain Counter" screen (Transaction Code: <b>crm_mpk_admin</b>), and still received the same error message.
    In this effort I created my own custom Counter Category, and Attributes. Nothing helped.
    Could someone throw some light on this concept and tell me where am I going wrong.
    Thanks and regards,
    -Pras

    Hi
    I think its a program error, you need to Implement the source code corrections.
    Please refer <b>SAP Note : 855670</b>
    Regards,
    Johnny.
    Reward if it helps.

  • CUNI Decimal place - error message

    Dear Friends,
    Is there any way we can display an error message if a user enters more than the allowed decimal place per unit.
    In CUNI we can control the display of decimals or the rounding of the decimals. Eventhough this is done the system still allows decimal places more than what is stipulated for that unit in CUNI.
    But, the requirement is that the system should not allow the user to enter decimal places more than the allowed decimal place setings in CUNI. The system should display an error message.
    Please let me know if this can be done per unit.

    Hi Lakshmipathi,
    Please find my explanation below:
    Scenario - 1
    -CUNI - BTL (Bottel) - No.Decimal place display (T006-DECAN) = "0"
    -Material - ABC - Base UoM = BTL and Sales Unit = BTL.
    -When a user creates a sales order for the third party process the system allows decimal places in quantity field (e.g.) = 1.50 BTL
    ->The system should not allow the above process.
    Scenario - 2
    -CUNI - SH (Sheets) - No.Decimal place display (T006-DECAN) = "1"
    -Material - XYZ - Base UoM = SH and Sales Unit = SH
    -When a user creates a sales order for the third party process the system allows decimal places in quantity field (e.g.) = 1.5 SH
    -> The system should not restrict the above process.
    Is there any way this can be achieved per unit of measure? If we restrict the decimal notation per user, there would be no flexiablity in the useage of units per user.

  • Cash Journal - Business Place error

    Hi
    I have saved a document in cash journal and trying to post the same. However, I'am getting the following error:
    "Business place Fina does not exist in company code 1000
    Message no. F5893"
    1) I have not created any Business Place yet,
    2) Further, I have not entered any Business Place in the Cash Journal Document also.
    Can anybody help me resolve the issue.
    Regards
    Abhishek Kumar

    Hi,
    Check T Code: FBCJC0, You should not specify the Additional Text in the last column of this T Code.
    I am pasting the same help text here for your reference.
    Additional Field for Cash Journal
    This field contains additional information for the cash journal.
    Use
    In Argentina, Brazil, and Thailand, enter the business place.
    In Russia, enter the designation (position) of the CEO as you want it to appear on receipts.
    Thanks
    Chandra

  • Business Place error

    While releasing the invoice to accounting i am getting this error.,
    "Please enter Business Place in line 000".
    I have maintained business place and also assigned to my plant.
    Please help me out to resolve this issue.

    Hi,
    This is how the importance of the business place in SAP.
    Business Place
    Definition
    See business place.
    Use
    The business place is used in countries that by law require returns for taxes on sales/purchases to be submitted at a level below the company code. For this reason, companies have to register each business place with the tax authorities as the unit responsible for tax reporting.
    In some countries, the business place is also used to assign official document numbers to outgoing documents and so it is the level at which these documents are issued. In most cases, these documents are concerned with value-added tax (VAT) or similar tax types.
    You can also use the business place for the payment program, whereby you can make separate payments per business place, and separate house banks can be filtered per business place. This function is currently available for Brazil, South Korea, and Thailand.
    SAP supports business place functions for the following countries; how it is used varies according to the country in which it is employed:
    ·         Brazil
    ·         Philippines
    ·         South Korea
    ·         Taiwan
    ·         Thailand
    You can activate the business place for other countries, but note that SAP does not support its usage.
    Structure
    You define the business place in Customizing. For each business place, you enter the tax numbers that the tax authorities have issued to the organizational unit responsible for reporting taxes on sales/purchases. Additionally, you maintain all address data.  By making these settings, you establish the business place in the system as the entity registered with the authorities that is responsible for issuing tax invoices and for tax reporting.
    The exact information you enter when defining the business place depends on the country with which you are working. For more information, refer to the country-specific documentation in the SAP Library.
    Integration
    For all countries, when you define the business place in Customizing, you assign it to a company code. In an extra step and depending on the country, you usually assign one or more other organizational units, for example the plant or sales office, to the business place. This means that if the business place is assigned to a plant, the system derives the business place from that plant when you post a document through Materials Management (MM) or Sales and Distribution (SD). Similarly, if the business place is assigned to a sales office, the system derives the business place from that sales office when you post a document through SD.
    Please let me know your thoughts.
    Thanks and Regards,
    Satheesh Durgi

  • Eclipse market place error: MarketplaceDiscoveryStrategy failed

    Hi,
    I've recently migreted from FDT to Flash Builder 4.7 Premium and I whish to get some stuff from the eclipse market place. While trying to connect I get this error.
    MarketplaceDiscoveryStrategy failed with an error
    Cannot complete request to http://marketplace.eclipse.org/api/p?product=com.adobe.flexbuilder.standalone.producte36&o s=win32&runtime.version=3.7.0.v20110110&client=org.eclipse.epp.mpc.core&java.version=1.6.0 _31&product.version=4.7.0.349722&ws=win32&nl=en_US:Content is not allowed in prolog.
    Content is not allowed in prolog.
    Any clues?
    I am on a 64bit Windows 8 machine ....
    Thanks .
    Vivo

    H Shivu,
    Refer SAP Note 1409578. See if the below links can be of any help.
    [Error  'Failed to get the document information (LO 26315)' in Xcelsius;
    [Xcelsius Dashboard doesnot refresh the data, gives Error Message LO 26315;
    [LO connection in Xcelsius shows <name>[Disconnected];
    [XCelsius + Live Office Error 26315;
    Good Luck.

  • Recent Places Error

    Hello all
    I seem to have developed an error on my iMac. The Recent Places function seems to have stopped working.
    Usually using Word or iWork or Vectorworks I would go File > Open and it would remember the most recent place I visited on the Xserve.
    Now it does not remember the last place visited and always defaults to the Documents area on the iMac. The Recent Places in the open drop down menu is always empty too.
    Does anyone know how to fix it? I have cleared the cache and the plist files for office but no difference!
    Thanks
    Mel

    I've had this exact problem for about a month now. I've looked everywhere for a fix but nothing. There are many questions about it but I haven't found any solutions yet. Help!!
    It seems to happen in all applications, but only with anything I access on our server. In other words, if I was opening things that lived in a folder on my desktop, the finder would remember the path the next time I go to open something — but, if whatever I opened last lives on the server, I'll be back at the beginning next time I go to open something, and I'll have to click through a thousand folders to get back. It's creating a huge headache for me, as I have to import tons of photos into Quark and/or Photoshop from our server.
    I've tried trashing .plist files as someone suggested somewhere, and it didn't work. I've tried logging into the server with a different user name and it didn't work. It seems to be directly relevant to how my computer communicates with our server. We have all kinds of network permissions issues that come up regularly too, and our administrator doesn't know how to fix them.
    This problem started seemingly out of nowhere — the only change I can pinpoint to around the same time that it started happening was that I switched from Extensis Suitcase to Linotype Font Explorer X for font management. No idea if this is relevant to the issue or not.

  • Idea Place error

    Dear sirs,
    I have just arrived on Idea Place home: https://ideas.sap.com/index.jspa
    and wanted to check the Quick Tour. Unfortunatelly, this doesn´t work.
    I get:
    System Error
    We're sorry but a serious error has occurred in the system.
    Regards Otto

    Error number 2: Usability error (could be my fault, but would definitely help to have a quick link)
    I am reading the Ideas already created on IP. I would like to create my own. I push "Submit Your Idea" button and can see the form to fill. But... a catch for me... I am asked to pick a topic. But my idea does not belong to any topics offered here. So I expect I can create my own topic, or not? I believe I am not supposed to create it right ahead but ask to have it created as it is written somewhere deep in the IP content. Well... for a newbie, who didn´t read a line here and there would not find how to create a new topic (or have it created) and that is why he (or she) would not be able to add his idea.
    Conclusion:
    - dear sirs, if you don´t want to make any big change, please add a Quick link about how to get a new topic next to the Topic DropDown in the "New idea form"
    - if you´re ready to think a little more, my suggestion would be not to ask for a new topic as a Idea place idea, because this is so fundamental for the use of the page, that there should be a separate dialog where one can ask for a new Topic in a structured way (and where through a workflow new topics can be added by the moderator or something... of course you can then list of the new topics suggestions etc. etc.).
    Thank you for any little step forward:))
    Have a nice day, Otto

  • Vivado Placement errors

    I am designing a 8-bit single stage pipelined ripple carry adder where the carry chain output of a slice (say Slice 1) is registered using a flip-flop present in Slice 1 and the output of the FF is being sent to the input of another carry chain situated in the next higher slice (Slice 2).  This has been achieved by writing a Verilog Code and an associated Constraints File (.xdc). However in such cases, the lowermost LUT of Slice 2 (also designated as A6LUT in the Constraints Guide) cannot be configured and a critical warning is always getting reported:  " [Vivado 12-2285] Cannot set LOC property of instance 'LUT6_inst4',  for bel A6LUT Could not find a physical pin for the LUT input I0 on A6LUT [E:/Ayan_vivado_codes/rca_viv32_3/project_1/project_1.srcs/constrs_1/new/rca_viv32.xdc:103] Resolution: When using BEL constraints, ensure the BEL constraints are defined before the LOC constraints to avoid conflicts at a given site."
    The Verilog code is as follows:
    module rca32viv(
    input [7:0] a,
    input [7:0] b,
    input clk,
    output [8:0] sum_c
    wire [7:0] p;
    wire [7:0] cout;
    wire [7:0] sum;
    reg c;
    assign sum_c={cout[7],sum};
    always@(posedge clk)
    begin
    c<=cout[3];
    end 
    //---------------------------------- 4-bit adder -----------------------------------------------------
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst0 (
    .O(p[0]), // LUT general output
    .I0(a[0]), // LUT input
    .I1(b[0]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst1 (
    .O(p[1]), // LUT general output
    .I0(a[1]), // LUT input
    .I1(b[1]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst2 (
    .O(p[2]), // LUT general output
    .I0(a[2]), // LUT input
    .I1(b[2]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst3 (
    .O(p[3]), // LUT general output
    .I0(a[3]), // LUT input
    .I1(b[3]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    CARRY4 CARRY4_inst0 (
    .CO(cout[3:0]), // 4-bit carry out
    .O(sum[3:0]), // 4-bit carry chain XOR data out
    .CI(1'b0), // 1-bit carry cascade input
    .CYINIT(1'b0), // 1-bit carry initialization
    .DI(a[3:0]), // 4-bit carry-MUX data in
    .S(p[3:0]) // 4-bit carry-MUX select input
    //-----------------------------------------------8-bit adder-----------------------------------------------------
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst4 (
    .O(p[4]), // LUT general output
    .I0(a[4]), // LUT input
    .I1(b[4]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst5 (
    .O(p[5]), // LUT general output
    .I0(a[5]), // LUT input
    .I1(b[5]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst6 (
    .O(p[6]), // LUT general output
    .I0(a[6]), // LUT input
    .I1(b[6]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    LUT6 #(
    .INIT(64'h0000000000000006) // Specify LUT Contents
    ) LUT6_inst7 (
    .O(p[7]), // LUT general output
    .I0(a[7]), // LUT input
    .I1(b[7]), // LUT input
    .I2(1'b0), // LUT input
    .I3(1'b0), // LUT input
    .I4(1'b0), // LUT input
    .I5(1'b0) // LUT input
    // End of LUT6_inst instantiation
    CARRY4 CARRY4_inst1 (
    .CO(cout[7:4]), // 4-bit carry out
    .O(sum[7:4]), // 4-bit carry chain XOR data out
    .CI(1'b0), // 1-bit carry cascade input
    .CYINIT(c), // 1-bit carry initialization
    .DI(a[7:4]), // 4-bit carry-MUX data in
    .S(p[7:4]) // 4-bit carry-MUX select input
    endmodule
    The associated constraints file (.xdc) is as follows:
    set_property BEL CARRY4 [get_cells CARRY4_inst0]
    set_property LOC SLICE_X0Y0 [get_cells CARRY4_inst0]
    set_property BEL A6LUT [get_cells LUT6_inst0]
    set_property BEL B6LUT [get_cells LUT6_inst1]
    set_property BEL C6LUT [get_cells LUT6_inst2]
    set_property BEL D6LUT [get_cells LUT6_inst3]
    set_property LOC SLICE_X0Y0 [get_cells LUT6_inst0]
    set_property LOC SLICE_X0Y0 [get_cells LUT6_inst1]
    set_property LOC SLICE_X0Y0 [get_cells LUT6_inst2]
    set_property LOC SLICE_X0Y0 [get_cells LUT6_inst3]
    set_property BEL DFF [get_cells c_reg]
    set_property LOC SLICE_X0Y0 [get_cells c_reg]
    set_property BEL CARRY4 [get_cells CARRY4_inst1]
    set_property LOC SLICE_X0Y1 [get_cells CARRY4_inst1]
    set_property BEL A6LUT [get_cells LUT6_inst4]
    set_property BEL B6LUT [get_cells LUT6_inst5]
    set_property BEL C6LUT [get_cells LUT6_inst6]
    set_property BEL D6LUT [get_cells LUT6_inst7]
    set_property LOC SLICE_X0Y1 [get_cells LUT6_inst4]
    set_property LOC SLICE_X0Y1 [get_cells LUT6_inst5]
    set_property LOC SLICE_X0Y1 [get_cells LUT6_inst6]
    set_property LOC SLICE_X0Y1 [get_cells LUT6_inst7]
    The LUT6_inst4 was not getting placed at the location of A6LUT in slice coordinate X0Y1 as mentioned in the constraints file; but to some other distant coordinate , say, X0Y2. However, it is desirable to get it mapped in Slice X0Y1. All the LUTs are configured as 2-input XOR gates; each of which has independent inputs. The floorplan of the design has been attached titled "Vivado_floorplan.png".
    Another interesting observation was that the constraints was getting obeyed for the same Virtex-7 device when implemented using Xilinx ISE and the same placement constraints were being adhered to completely.  The constraints file (.ucf) is as follows:
    INST "LUT6_inst0" BEL = A6LUT;
    INST "LUT6_inst1" BEL = B6LUT;
    INST "LUT6_inst2" BEL = C6LUT;
    INST "LUT6_inst3" BEL = D6LUT;
    INST "c" BEL = DFF;
    INST "LUT6_inst0" LOC = SLICE_X1Y0;
    INST "LUT6_inst1" LOC = SLICE_X1Y0;
    INST "LUT6_inst2" LOC = SLICE_X1Y0;
    INST "LUT6_inst3" LOC = SLICE_X1Y0;
    INST "c" LOC = SLICE_X1Y0;
    INST "CARRY4_inst0" LOC = SLICE_X1Y0;
    INST "LUT6_inst4" BEL = A6LUT;
    INST "LUT6_inst5" BEL = B6LUT;
    INST "LUT6_inst6" BEL = C6LUT;
    INST "LUT6_inst7" BEL = D6LUT;
    INST "LUT6_inst4" LOC = SLICE_X1Y1;
    INST "LUT6_inst5" LOC = SLICE_X1Y1;
    INST "LUT6_inst6" LOC = SLICE_X1Y1;
    INST "LUT6_inst7" LOC = SLICE_X1Y1;
    INST "CARRY4_inst1" LOC = SLICE_X1Y1;
    The floorplan of the design has been attached titled "ISE_floorplan.png".
    Could you please help me to fix this error in Vivado as I want it to adhere to the placement constraints supplied in .xdc file?
     

    I have tried using X1Y0 and X1Y1 coordinates. The problem recurs, and is true for any value of XiYj. The LUT6_inst4 is getting mapped to some distant location. The following  critical message is generated:
    [Constraints 18-5] Cannot loc instance 'LUT2' at site SLICE_X1Y0,  for bel A5LUT Conflicting nets for physical connection A6LUT_O6 driven by SLICE_X1Y0.A6LUT.O6: 1: p[0], 2: USED,  for bel B5LUT Conflicting nets for physical connection A6LUT_O6 driven by SLICE_X1Y0.A6LUT.O6: 1: p[0], 2: USED,  for bel C5LUT Conflicting nets for physical connection A6LUT_O6 driven by SLICE_X1Y0.A6LUT.O6: 1: p[0], 2: USED,  for bel D5LUT Conflicting nets for physical connection A6LUT_O6 driven by SLICE_X1Y0.A6LUT.O6: 1: p[0], 2: USED ["D:/Ayan/rca32/rca32.srcs/constrs_1/new/rca32.xdc":7]
    However, I also did not understand the optimization aspect which you mentioned. Could you kindly elaborate?

  • Calculated Key Figures Decimal Place Error

    Hi Experts,
    Wonder if there is anybody to help me out to explain why I am having a problem on the calculated key figures decimal places when if I report on the components of the calculated key figure (restricted key figure) are working correctly?
    The calculated key figure is a boolean formula made up of 2 restricted key figures.  The 2 restricted key figure are having 2 decimal place (one is 0.36 another is 0.00).  In the current condition, the 2 restricted key figure just sums up - 0.36 + 0.00 = 0.36.  However the calculated key figure displayed as 0.359999 (6 decimal places) as the result.
    I am using BEx Analyzer Excel.

    Hi Fang,
    right mouse click on your KF and select properties. Then define the number of decimal places you want.
    Ciao.
    Riccardo.

  • Div tag placement error

    Hi-
    I have placed text within a div tag that is wrapped within another div (Figure 1) but I can't seem to identify the proper placement.  I tried to solve the problem by assuming that the placement of the div was incorrect.  However, I cannot place a div within the area that I want (red arrow indicates correct placement), therefore, the text is appearing at the bottom of where it should, under what seems to another extraneous div.  Any help in solving this is greatly appreciated. Thanks!
    Two images: website in browser (left) and in design view from Dreamweaver (right).

    You need a wider page to hold the floated links and iframe.  Also your iframe is too short for the amount of content it needs to display.
    I took the liberty of re-coding your page layout and in so doing removed a lot of the images and replaced them with CSS.   Copy & Paste this code into a NEW, blank document and see if it makes sense.
    <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
    <html xmlns="http://www.w3.org/1999/xhtml">
    <head>
    <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
    <title>Steve Baisden | Home</title>
    <link rel="shortcut icon" href="favicon.ico" />
    <style type="text/css">
    body {
    background-color:#e4e4e4;
    width: 1100px;
    margin: 0 auto;
    .container {overflow: hidden; /*float containment*/}
    .header {
    background:#000;
    border-radius:20px;
    border: 4px solid silver;
    min-height:83px;
    width:1000px;
    .header img.logo {
    float:left;
    margin:25px 0px 25px 25px;
    .navMain {
    margin:30px 28px 30px 0px;
    float:right;
    .navMain ul {
    margin:0px;
    padding:0px;
    .navMain ul li{
    margin:0px;
    padding:0px 20px;
    list-style:none;
    display:inline;
    .navMain ul li a{
    margin:0px;
    padding:0px;
    color:#FFF;
    text-decoration:none;
    font-size:18px;
    font-family: "Arial Unicode MS";
    text-transform:uppercase
    .navMain ul li a:hover{
    text-decoration:underline;
    /**persistent page indicator**/
    body.home .navMain li a.home,
    body.about .navMain li a.about,
    body.portfolio .navMain li a.portfolio,
    body.resume .navMain li a.resume,
    body.contact .navMain li a.contact{
    color:rgb(143,203,3)
    .content {
    border-radius:20px;
    border:4px solid silver;
    background-color:#428301;
    width:1000px;
    margin:10px 0;
    padding:0;
    overflow:hidden; /*for float containment*/
    position:relative;
    box-shadow:7px 7px 5px #999
    #leftCol {
    float:left;
    width:249px;
    min-height: 370px;
    background:#FFF;
    border-radius:16px 0 25px 25px;
    .wrapLinks {
    margin:10px 0px 0px 0px;
    padding:3px 0px 0px 0px;
    font-family:"Arial Unicode MS";
    .wrapLinks li{
    margin:0px;
    padding:9px 0px 0px 30px;
    list-style:none;
    .wrapLinks li a {
    font-size:13px;
    color:#428301;
    text-decoration:none;
    /**arrow after links**/
    .wrapLinks li a:after {
    content:' \2799';
    .wrapLinks li a:hover{
    text-decoration:underline;   
    h2.title {
    margin:0px;
    padding:0px;
    font-size:24px;
    font-weight:normal;
    font-family:"Arial Unicode MS";
    /**carousel**/
    iframe{
    position:relative;
    float:right;
    width: 751px;
    height:375px;
    border:none;
    margin-top:0;
    border-radius: 0 16px 0 0;
    #LearnMore{
    margin:0px;
    padding:0px;
    background: url(http://www.sbaisden.com/images/button_content.png) no-repeat;
    height:75px;
    width: 145px;
    position:absolute;
    right:25px;
    top:275px;   
    opacity: 0.8;
    cursor:pointer;
    #LearnMore p { /**move text off screen**/
    text-indent:-9999em}
    #LearnMore:hover{
    opacity: 1.0;
    .greeting {
    clear:both;
    font-family: "Arial Unicode MS";
    font-size: 20px;
    font-style: normal;
    color: #FFF;
    padding:20px;
    .bottom {
    text-align:center;
    margin:0px auto;
    padding:0;
    font-family:"Arial Unicode MS";
    font-size:16px;
    font-weight:bold;
    .footer {
    font-family:"Arial Unicode MS";
    font-size:10px;
    color:#666;
    padding:0 20px;
    margin:0;
    clear: both;
    </style>
    </head>
    <body class="home">
    <div class="container">
    <div class="header">
    <a href="index.html"><img class="logo" src="http://www.sbaisden.com/images/header_logo.png" width="241" height="27" border="0" alt="Steve Baisden" /></a>
    <div class="navMain">
    <ul>
    <li><a class="home" href="index.html">Home</a></li>
    <li><a class="about" href="about.html">About</a></li>
    <li><a class="portfolio" href="portfolio.html">Portfolio</a></li>
    <li><a class="resume" href="resume.html">Résumé</a></li>
    <li><a class="contact" href="Contact.php">Contact</a></li>
    </ul>
    <!-- end .navMain --></div>
    <!-- end .header --></div>
    <div class="content">
    <!--carousel-->
    <iframe src="http://www.sbaisden.com/carousel.html" scrolling="auto"></iframe>
    <!--end carousel-->
    <div id="LearnMore">
    <p><a href="#">LEARN MORE</a></p>
    <!--end LearnMore-->
    </div>
    <div id="leftCol">
    <ul class="wrapLinks">
    <li><h2 class="title">Transportation</h2><a href="portfolio.html">Get more information</a></li>
    <li><h2 class="title">GIS/Data Analysis</h2><a href="portfolio.html">Get more information</a></li>
    <li><h2 class="title">Map Design</h2><a href="portfolio.html">Get more information</a></li>
    <li><h2 class="title">(Re)Development</h2><a href="portfolio.html">Get more information</a></li>  
    </ul>
    <!--end leftCol--></div> 
    <div class="greeting">
    <h4>Greetings! Welcome to my online portfolio.</h4>
    <p>This website contains a collection of my academic papers, projects and maps that I have compiled into one
    <br />source, displaying my abilities and skills within the realm of Urban Planning.</p>
    <p>Please explore this site and feel free to leave a comment.</p>
    <!-- end .greeting --></div>
    <div class="bottom">
    <p>***Certain pages of this site are still "under construction". Please check back for future developments.***</p>
    <!--end bottom--></div>
    <!--end .content--></div>
    <div class="footer">
    <p>Copyright © 2012 Steve Baisden</p>
    <!--end .footer--></div>
    <!--end container--></div>
    </body>
    </html>
    Nancy O.

Maybe you are looking for

  • Compare two similar objects

    Do you know about any possible Java bugs with JDK update? In my case, my code stopped working. Here is what I have. There are two similar objects, but Object 1 has key, and Object 2 (same as Object 1) has no key. I need to compare both, and if they a

  • ICal Group Calendar - Line command

    Does anyone know how to create a group calendar other than through the wiki interface? I would think there is a line command as well. I have created group calendars on several osx servers in the past. I have two 10.6.4 servers that seem to have an au

  • Problem downloading some movies & videos into New 60G Video Ipod

    Our 60G seems to have a problem with some of the videos and movies. It has downloaded many videos fine, but some has been downloaded without sound. Everything plays in itunes fine though. Help?

  • Struts : Pre populating won't work !!

    How come initializing the form parameter in the action before forwarding to jsp does not populate the struts html controls such as html:text ?? in my action (WhatEverAction) class i do that : myOwnActionForm = (MyOwnActionForm) form; myOwnActionForm.

  • Catalog browser error

    Hi, i have successfully installed the catalog browser and  i am able to login using the safari browser however when trying to open any report ( i am admin) i am getting the massage: there was an error trying to opening this document. this file cannot