Zynq 7000 performance

The Zynq 7000 used in the newly announced cRIO-9068 has me interested.
Especially the statement: "The processor and FPGA fabric communicate over 10,000 internal interconnect, delivering a performance between a microprocessor and FPGA fabric that is physically impossible to accomplish between a discrete processor and an FPGA implemented on a printed circuit board."
Something I've been wondering for a while is if this tight coupling of ARM cores and FPGA speeds up DMA Transfers between "host" and "target".  I have seen on a PXIE 8115 coupled with a Flex RIO 7895 a minimum latency of around 6 microseconds per single DMA transfer, making transfers of small amounts of data relatively time consuming (Meaning that a transfer of 1 element or 100 elements costs about the same amount of time).
Is this latency lower in the new design?
Shane.
Say hello to my little friend.
RFC 2323 FHE-Compliant

Hi Colden,
thanks for the interesting reply.  One thing I don't understand is how Interrupts help transfer data (I thought they were the FPGA-RT version of occurrences).  In fact the help for the "Wait on IRQ" on the RT side states that the function will actually hog a CPU and may cause other code to stop executing until it has finished executing.  This is certainly something I want to avoid.
I should perhaps clarify a bit more what I'm referring to.  I should really be using the word "Overhead" instead of "Latency" when referring to the DMA calls.  Where our control loop is spending significant portions of time waiting for data from the FPGA, this kind of amounts to the same thing but it's not strictly the same.  If we wait 6 us for data arriving and anohter 6us before it can be sent (Because this is the overhead associated with the SMA transfer) then we have 12 us delay if we simply loop data back and forth between the FPGA and RT.
I have benchmarked DMA FIFOs on a PXIe-8115 with a 7865R and have found that the minumum transfer time for a single DMA is around 6us (According to RETT).  This is for sending a single U8 over DMA.  For approximately 100 U8s we still need the same time of approximately 6us.  Only over 100 U8s do we start to see a linear increase in DMA transfer execution time (0f 60ns per element IIRC).  What this means is that the transfer of data over DMA is only really efficient when we are sending at least 100 elements.  In our application we send less that that, so we're essentially in the region where the DMAs are not operating at full efficiency.  Although we have no plans to incorporate a Zynq into our products, the very low latency of the AXI bus sounded like it could change this behaviour significantly.  If we could lower this DMA overhead of 6us (equivalent to sending 100x U8) to, say 2us (25 x U8) then our RT loop would actually be able to run faster (We are currently reaching 20kHz without problems).  If the overhead dropped to 0.4 us (5 U8) then we could actually consider operating a pipelined DMA transfer within our RT loop with data being transferred in several sequential packets instead of one "large" monolithic packet.  Between DMA calls we could then execute parts of our RT control loop and thus increase overall responsiveness (i.e. latency) of our loop.
Other considerations would be using two DMAs in parallel to achieve faster data transfer.  The lower the overhead, the more scenarios would benefit from this.
My considerations are mainly theoretical at the moment but it might come iin handy for future developments.
Shane.
Say hello to my little friend.
RFC 2323 FHE-Compliant

Similar Messages

  • AMP for Zynq 7000

    hello every one
    I have a zedboard and I want to run linux on only one of the cores of arm. I followe the "XAPP1078"(V1.0) tutorial and I successfully booted linux on both cores, but as I followed "xapp1078-amp-linux-bare-metal" tutorial for having AMP system and running linux on only one core I faced some errors which says "unable to open rtc device(rtc0)". what is the solution for running linux on only one of the cores in zynq7000 device on zedboard?
    your help is really appreciated.

    yes, but I solved my problem, I wanted to use xapp_1078 for zedboard. while it is not totally the same for zedboard.  after reading http://www.wiki.xilinx.com/XAPP1078+Latest+Information I solved my problem.tnx for ur reply siktap.

  • Using both CPU cores on Zynq?

    Hi,
    Has anyone tried to use both cpu cores of zynq?
    I did not find any example from Xilinx, only related information
    in "Zynq-7000 EPP Technical Reference Manual UG585 (v1.2)".
    It says that cpu0 must do these two things:
    1. Write the address of the application for CPU1 to 0xFFFFFFF0.
    2. Execute the SEV instruction to cause CPU1 to wake up and
    jump to the application.
    Found a "dual cpu demo" example on ARM web-site:
    http://www.arm.com/files/pdf/ZC702_DS5_2.pdf
    However, the attached source code doesn't do any of the
    above two actions. How can the second cpu start then?
    Regards,
    Pramod Ranade
     

    Thank you j, for the suggestions.
    I was basically trying with baremetal/baremetal.
    First I used "hello world" example for CPU0, it
    works fine. Then I added another "hello world",
    but now for CPU1. Then I edited it's main() and
    removed the initialization part and also the
    printf("hello world"). Instead, I simply declare
    a pointer to some memory location. And in an
    infinite loop, I keep incrementing the value
    pointed by that pointer.
    The "hello world0" on the other hand has been
    modified to write start address of the "hello world1"
    to location 0xFFFFFFF0 and then a simple "SEV".
    Then the program reads the same memory
    location (which is being incremented by CPU1)
    and prints it's value to UART. I was expecting that
    the value will be seen increasing...but I see it
    constant.
    For the shared memory location, I tried 0x00700000
    which is in DDR RAM. I also tried 0xFFFFE000 which
    is in OCM. Neither worked...
    I suspect, CPU0 needs to something more than just
    writing CPU1 start address to 0xFFFFFFF0 and then
    issuing a SEV. But I could not find any more information.
    Nor can I find any example that uses both CPU cores.
    Regards,
    Pramod Ranade
     

  • Zynq Ethernet Issues

    Hi all,
    I have a Zybo Zynq 7000 where I am implementing a custom design in Vivado 2014.4. I am using a version of Ubuntu 12.04 from Xillybus as my Linux distrubution and am having problems getting the ethernet to work. 
    Here is a snippet of my top level .dts with the relevant ethernet portion:
    &gem0 {
    local-mac-address = [00 0a 35 00 00 00];
    phy-mode = "rgmii-id";
    status = "okay";
    xlnx,ptp-enet-clock = <0x6750918>;
    ps7_ethernet_0_mdio: mdio {
    #address-cells = <1>;
    #size-cells = <0>;
    here is the relevant ethernet portion from the zynq-7000.dtsi
    gem0: ethernet@e000b000 {
    compatible = "cdns,zynq-gem";
    reg = <0xe000b000 0x1000>;
    interrupts = <0 22 4>;
    clocks = <&clkc 30>, <&clkc 30>, <&clkc 13>;
    clock-names = "pclk", "hclk", "tx_clk";
    #address-cells = <1>;
    #size-cells = <0>;
    When I do cd /sys/bus/platform/devices ls, an entry for ethernet shows up as "e000b000.ethernet" so it appears that it is recognizing the ethernet.
    When I run ifconfig -a, the only thing displayed is the loopback address information. There is no other entry for an eth0 or anything else.
    In my /etc/network/interfaces file, i have the following information (didn't post the actual address/netmask/gateway):
    auto eth0
    iface eth0 inet static
    address xx.xx.xx.xx
    netmask xx.xx.xx.xx
    gateway xx.xx.xx.xx
    dns-nameserver 8.8.8.8
    When I do a  /etc/init.d/networking restart, I get the follwing:
    "*Reconfiguring networking interfaces...
    Cannot find device "eth0"
    Failed to bring up eth0"
    When I do an ifup eth0, I get the following:
    "Cannot find device "eth0"
    Failed to bring up eth0"
    Just to add, on the board, the LINK and ACT leds next to the ethernet connector light up and blink when the board is powered up, suggesting that it is sending or receiving network traffic, but as I said I cannot connect to the internet.
    Can anyone help identify my problem? If you need the output of any other commands or any other information, please let me know. I've tried browsing the forums and trying the suggested solutions from other threads with similar problems, but am not having any luck.
    Thank you,
    Chris

    Once you boot , can you try the command ifconfig to see if the eth0 is seen interface?
    If the eth0 is seen, try setting the IP address to it by using the command ifconfig eth0 192.168.1.11 up.
    As i expect the board and PC are connected through the ethernet cable, configure your PC IPV4 setting to 192.168.1.10 and the subnet.
    If all this is fine, try to ping using the command ping 192.168.1.10 and see what happens.
    If you are not able to work with above steps, you may have to verify the dts settings etc.
    I see the compatiable PHY details missing in the device-tree.
    example device-tree for eth0:
    &gem0 {
      local-mac-address = [00 0a 35 00 c0 12];
      phy-handle = <&phy0>;
      phy-mode = "rgmii-id";
      mdio {
       #address-cells = <1>;
       #size-cells = <0>;
       phy0: phy@0 {
        compatible = "marvell,88e1116r";
        device_type = "ethernet-phy";
        reg = <0>;
    Hope this helps.
    Regards,
    Achutha

  • New Zynq SoC Porting Guide is like a "Bridge Over Troubled Software." Want some help porting that code?

    So you have an embedded design all coded up for one microprocessor architecture and you’d love to take advantage of the significant additional processing power of the dual-core ARM Cortex-A9 MPCore processor/programmable logic combination available with the Xilinx Zynq SoC? Want some help porting that code?
    Help is available with the new “Zynq-7000 All Programmable SoC Architecture Porting Guide,” UG1181. Following a quick overview of the ARM Cortex-A9 processor features found in the Zynq PS (Processor System), the guide gives the following handy table highlighting the differences among five processor architectures including the ARMv7 architecture, PowerPC, MIPS, Renesas-SH, and x86:
    The document also includes a comparison of function-calling conventions, interrupt models, memory maps, register sets, and pointers to the ARM Web pages that provide detailed help in porting from these other processor architectures.

    Are you using the latest version of Silverkeeper? - v.2.0.2 is stated to be compatible with Snow Leopard.
    http://www.lacie.com/silverkeeper/
    If it's messing things up you could try asking LaCie Support for assistance.

  • Getting started with smartZynq module (soc-e)

    Hi everybody,
    for a project in my compagny I have to discover and use a ZynQ-7000 based board called smartZynQ (soc-e)
    here are some information about this board
    soc-e : http://soc-e.com/smart-zynq-module/
    xilinx : http://www.xilinx.com/products/boards-and-kits/1-5w2lxx.html
    The fact is i've never used Xilinx board and I would like to fellow some tutorial but the only tutorial I found were written for
    the Zynq-7000 ZC702 demonstration platform. (I didn't choose to work with smartZynQ insted of EDK)
    My questions are :
    - Does anyone ever worked with this board ?
    - The documentation about this board is very poor on internet where I could find more information ?
    - Where could I find Hardware description file, device tree, linux distib, fsbl, etc.... used for this board or how can I generate it ?
    thanks
    Bastien

     I would recommend you to please contact them.
    http://soc-e.com/contact-us/ 

  • FCLK is not active with ZC706

    Hello,
    I am using zc607 (zynq-7000 SOC) I'm trying to add a simple axi slave which holds 4 registers.
    alas, when trying to access the registers (either through linux or xsdb) I receive "Exception: Cannot read target memory. PL AXI slave ports access is not allowed".
    trying to debug the axi lines I've come to notice FCLK was 0. 
    My M.O was as following:
    Opening vivado project, adding my AXI slave IP, adding processing system 7, verifying memory addresses and uploading to my board. which is running petalinux through sdcard.
    any help be greatly appreciated.

    you need to go to ps7 properties and enable PS FCLK0 with the clock speed you need in the clock configuration page.

  • Hello World and FSBL don't work with ZC702

    Hi everyone,
    I'm very confused about my issue. I've a ZC702 Board and use SDK 2014.4 and Vivado 2014.4 (both 64 bit) on a Windows 7 machine.
    I've created a simple design with Vivado. The only element I have is a Zynq processor. I turned on some controller ( like I2C, QSPI, CAN, SD) and, configured the CAN CLK prescaler (see Zynq-7000 AP SoC Technical Reference Manual v1.10, page 581) and that's it.
    After I exported the bitstream and launched the SDK, I created a FSBL project and a Hello World project. Nothing spectacular, nothing weird. Everything I used came out of the box.
    I used the Xilinx tools to create a boot image and flashed the memory.
    The boot sequence works fine till it has to execute the HelloWorld.elf. I get following message :
    Application
    Handoff Address: 0x00000000
    In FsblHookBeforeHandoff function
    No Execution Address JTAG handoff
    Here is the thing: If I use the ZC702 pre-defined hard platform, with I can choose in the creation process of a new project, everything works fine. But that's not, what I want and that's not, what I need.
    Why does this happen and how can I understand and reconstruct this behavior to configure and fix this kind of problems
    in future by myself?
    I'm looking forward to your suggestions
    P.S: I've done a lot of googling but I couldn't find anything suitable.

    Check this AR and attached design
    http://www.xilinx.com/support/answers/50869.html

  • Tri Mode Ethernet MAC In PlanAhead Tool

    Hi all,
    I am using,
    Tool: PlanAhead 14.6
    Device: Zynq 7000
    If I generate Tri mode Ethernet MAC IP by selecting VHDL language I am not getting trimac core module as shown in the figure 1
    If I generate Tri mode Ethernet MAC IP by selecting Verilog language I am getting trimac core module as shown in the figure 2

    HI vinay,
    First i have generated the IP by setting the target language as VHDL Language in that the module is missing .
    Ssecondi have generated the IP by setting the target language as verilog Language in that the module is present.
    I have attached .xci file of both VHDL language and Veriog language selected project .
    Thanks
    Naveen G K

  • Vivado Planahead, Zc7z045ffg676-1, unable to make HP bank 35 LVDS

    Hi all,
    I am currently not able to get the Vivado Planahead tool (2014.2) to allow me to specify pins on bank HP 35 to be the LVDS standard.  
    If I use this very simple xdc file
    set_property CFGBVS Vcco [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property IOSTANDARD LVDS [get_ports TX_DAC_DP0]
    set_property IOSTANDARD LVDS [get_ports TX_DAC_DN0]
    set_property IOSTANDARD LVDS [get_ports TX_DAC_DP1]
    set_property IOSTANDARD LVDS [get_ports TX_DAC_DN1]
    set_property direction OUT [get_ports TX_DAC_DP0]
    set_property direction OUT [get_ports TX_DAC_DN0]
    set_property direction OUT [get_ports TX_DAC_DP1]
    set_property direction OUT [get_ports TX_DAC_DN1]
    set_property PACKAGE_PIN G14 [get_ports TX_DAC_DP0]
    set_property PACKAGE_PIN F14 [get_ports TX_DAC_DN0]
    set_property PACKAGE_PIN J15 [get_ports TX_DAC_DP1]
    set_property PACKAGE_PIN K15 [get_ports TX_DAC_DN1]
    The tool flags the LVDS selected option with  red LVDS*
    According to http://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf
    these ports are HP so I don't understand why I am unable to set then to LVDS.  The VCCIO voltage is set to 1.8V
    The DRC reports
    I/O port TX_DAC_DN/P  is Single-Ended but has an IOStandard of LVDS which can only support Differential for all the ouputs.  Can these ports not be configured as LVDS on this device?
    Regards
    Walter

    Hi Athandr,
    Thanks for that, it worked.  I was trying to do it from package pin tab, which is similar to setting them in the synthesis tool.
    To clarify how to do it as tcl contraints it looks like you need to specify the IO Standard and direction for both P and N pins but only specify the package pin for either the N or P port.  For example to specify TX_DAC_DP0/TX_DAC_DN0 as an Output LVDS buffer
    set_property PACKAGE_PIN G14 [get_ports TX_DAC_DP0]     # Only specify the P or N connection pin
    set_property IOSTANDARD LVDS [get_ports TX_DAC_DP0]
    set_property IOSTANDARD LVDS [get_ports TX_DAC_DN0]
    set_property direction OUT [get_ports {TX_DAC_DP0}]
    set_property direction OUT [get_ports {TX_DAC_DN0}]
    make_diff_pair_ports TX_DAC_DN0 TX_DAC_DP0
    Regards
    Walter

  • De Facto Standard Platforms for ADAS and Beyond

     
    By Mike Santarini, Publisher, Xcell Journal, Xilinx
    Xilinx has a rich history in the automotive market, but over the last four years and with the commercial launch of the Zynq-7000 All Programmable SoC in 2011, the company has quickly become the platform provider of choice in the burgeoning market for advanced driver assistance systems (ADAS). Companies such as Mercedes-Benz, BMW, Nissan, VW, Honda, Ford, Chrysler, Toyota, Mazda, Acura, Subaru and Audi are among the many OEMs that have placed Xilinx FPGAs and Zynq SoCs at the heart of their most advanced ADAS systems. And with the new Zynq UltraScale+ MPSoCs, Xilinx is sure to play a leadership role in the next phases of automotive electronic innovation: autonomous driving, vehicle-to-vehicle communication and vehicle-to-infrastructure communication.
     

    Its a poor business model they pursue and sale driven, they will exhaust this eventually this model always does, better to have loyal returning customer  who spreads the good word, than a bargain hunter who does not care.  Good customer base Good business.!!!!!!!!!!!!!!!!!!!!!!!
    Mortgage Advisor 2000-2008
    Green Energy Advisor 2008-2010
    Charity Health Care Provider Advisor 2010-
    I'm alright Jack....

  • PetaLinux vs Vivado SDK?

    Can someone explain the difference between PetaLinux and the Vivado SDK for linux development? As far as I can tell, the Vivado SDK contains all of the build tools needed to build linux applications (arm-xilinx-linux-gnueabi-*). What's the difference between manually building linux-xlnx and u-boot-xlnx from the Git repository vs using PetaLinux? What's the prefered method to build and setup a linux development enviornment?
    I've installed Vivado SDK on my Ubuntu Machine; git cloned, configured, and built u-boot-xlnx and linux-xlnx. Everything seems to be working fine on my Microzed board. Are there any advantages to using PetaLinux?

    PetaLinux is our full Linux distribution which includes the Linux OS as well as a complete configuration, build and deploy environment for Xilinx silicon.PetaLinux Tools provide a simple and fast method to build and deploy Linux-based software on Xilinx Zynq-7000 APSoC and MicroBlaze devices.
    Although the PetaLinux kernel is based on a stable and thoroughly tested Linux, also from the Xilinx Git server, PetaLinux offers much more than what can be downloaded from our Git. PetaLinux includes installer, development tools, BSPs, platform management utilities, application and library frameworks and documentation that are not found within our OSL offering.
     With PetaLinux, you can:
    • Build and configure Linux components; Linux kernel, u-boot and file system, for Zynq-7000 APSoC and MicroBlaze based designs
    • Easily synchronize your Linux software platform and hardware platform in a single step
    • Easily target and migrate your Linux user application to Zynq-7000 APSoC or MicroBlaze based Linux platform
    • Quickly get started with building Linux-based software on Xilinx and partner development boards using the pre-built Board Support Packages (BSPs)
    • Test your Zynq-7000 APSoC or MicroBlaze Linux system without any hardware in a virtual machine environment using QEMU
    You can refer to the design flow details in the link http://www.wiki.xilinx.com/PetaLinux+Getting+Started
    For more details refer to the Petalinux Documentation.
    Regards,
    Achutha

  • 5G Wireless Brings Ubiquitous Connectivity: BEEcube's BEE7 and NanoBEE 5G Emulation Systems

     
    By David Squires, Vice President of Business Development, BEEcube, A National Instruments Company
    As wireless operators continue their relentless march to be the first to provide consumers with new services and devices, additional bandwidth and service plans that yield higher profits, infrastructure companies are also racing to field the 5G equipment that will form the foundation of the next generation of wireless communications. To enable this 5G wireless infrastructure, BEEcube (recently acquired by National Instruments) leveraged Xilinx FPGAs and Zynq-7000 All Programmable SoCs to provide 5G equipment manufacturers with a new emulation system as well as a mobile-handset emulator. The BEE7 and nanoBEE are enabling design teams to be innovative and productive so they can bring 5G technologies to market ahead of the competition.
    BEE7 PLATFORM ARCHITECTURE: The BEE7 platform is a state-of-the-art architecture that BEEcube designed from the ground up to meet the above requirements of next-generation communications systems. The amount of data that must be moved quickly and efficiently is enormous. The heart of the BEE7 prototyping system is the Xilinx XC7VX690T. This device combines 80 serial transceivers with 3,600 DSP slices, making the 690T a world-class engine for advanced wireless applications (both to prototype and for early field trials).
     

  • MIO GPIO interrupt in device tree

    Hi
    I am trying to specify a MIO GPIO as an interrupt source for a linux driver. The driver in question is for the ADS7846 touchscreen controller. I have been able to get the touchscreen working by modifying the PCB to take the interrupt line to the PL, then connecting this to a PL-PS interrupt. I would like to know how to use the MIO GPIO instead.
    My dev tree:
    &spi0 {
        is-decoded-cs = <0>;
        num-cs = <2>;
        status = "okay";
        touchscreen@0 {
                    reg = <0>;
                    compatible = "ti,ads7846";
                   /* I have been able to get it working by using a PL-PS interrupt */
                    /*interrupt-parent = <&intc>;
                    interrupts = <0 29 1>;*/
                   /* I can't find how to specify the MIO GPIO as an interrupt source */
                    interrupt-parent = <&gpio0>;
                    interrupts = <&gpio0 52 0x2>;
                    spi-max-frequency = <1000000>;
                    pendown-gpio = <&gpio0 52 0>;
                    vcc-supply = <&regulator_vccpint>;
    Does anyone have examples of setting up a MIO GPIO as an interrupt source in the device tree? Or links to good documentation?
    Thanks in advance,
    Will

    OK - so it's taken a while, but I think I have now worked it out!
    I changed the gpio entry in the "zynq-7000.dtsi" file to the following:
    gpio0: gpio@e000a000 {
                compatible = "xlnx,zynq-gpio-1.0";
                #gpio-cells = <2>;
                clocks = <&clkc 42>;
                gpio-controller;
                interrupt-parent = <&intc>;
                interrupts = <0 20 4>;
                #interrupt-cells = <2>;
                interrupt-controller;
                reg = <0xe000a000 0x1000>;
    And then specified interrupts for devices as follows:
    &spi0 {
        is-decoded-cs = <0>;
        num-cs = <2>;
        status = "okay";
        touchscreen@0 {
            reg = <0>;                                                         /* CS0 */
            compatible = "ti,ads7846";
            interrupt-parent = <&gpio0>;
            interrupts = <52 2>;                                       /* MIO 52, falling edge */
            spi-max-frequency = <1000000>;
            pendown-gpio = <&gpio0 52 0>;
            vcc-supply = <&regulator_vccpint>;              /* dummy */
        nfc@0 {
            compatible = "ti,trf7970a";
            reg = <1>;
            pinctrl-names = "default";
            spi-max-frequency = <2000000>;
            interrupt-parent = <&gpio0>;
            interrupts = <15 1>;                                            /* GPIO MIO 15, rising edge */
            ti,enable-gpios = <&gpio0 14 0>,
                    <&gpio0 13 0>;                                          /* 13 = dummy- don't need EN2 support */
            vin-supply = <&regulator_vccpint>;              /* dummy */
            autosuspend-delay = <30000>;
            /*irq-status-read-quirk;
            en2-rf-quirk;*/
            status = "okay";
    For info,
    # dmesg | grep ads
    ads7846 spi32766.0: touchscreen, irq 148
    # cat /proc/interrupts
    CPU0 CPU1
    27: 0 0 GIC 27 gt
    29: 891 540 GIC 29 twd
    35: 0 0 GIC 35 f800c000.ocmc
    40: 0 0 GIC 40 f8007000.devcfg
    41: 0 0 GIC 41 f8005000.watchdog
    43: 0 0 GIC 43 ttc_clockevent
    45: 0 0 GIC 45 f8003000.dmac
    46: 0 0 GIC 46 f8003000.dmac
    47: 0 0 GIC 47 f8003000.dmac
    48: 0 0 GIC 48 f8003000.dmac
    49: 0 0 GIC 49 f8003000.dmac
    51: 4513 0 GIC 51 e000d000.spi
    53: 0 0 GIC 53 zynq-udc
    56: 88 0 GIC 56 mmc0
    57: 26 0 GIC 57 cdns-i2c
    58: 724 0 GIC 58 e0006000.spi
    72: 0 0 GIC 72 f8003000.dmac
    73: 0 0 GIC 73 f8003000.dmac
    74: 0 0 GIC 74 f8003000.dmac
    75: 0 0 GIC 75 f8003000.dmac
    79: 52 0 GIC 79 mmc1
    82: 334 0 GIC 82 xuartps
    111: 0 0 zynq-gpio 15 trf7970a
    148: 21 1 zynq-gpio 52 ads7846
    IPI1: 0 0 Timer broadcast interrupts
    IPI2: 2061 2277 Rescheduling interrupts
    IPI3: 0 0 Function call interrupts
    IPI4: 8 45 Single function call interrupts
    IPI5: 0 0 CPU stop interrupts
    IPI6: 1 0 IRQ work interrupts
    IPI7: 0 0 completion interrupts
    Err: 0
    Thanks Milosoftware for your help.
    Will

  • PowerMac G3 B&W and Radeon 7000 PCI Low Performance :(

    Hello guys. Lats week I bought Radeon 7000 PCI Mac Edition for my B&W. In my B&W I've got G4 XLR8 400@500MHz, 320MB RAM in Micron modules, Radeon 7000 PCI ME, USB 2.0 card on NEC chipset, ATTO SCSI PSC card witch system disk connected to it. When I put this Radeon to B&W I was not impressed at all. I installed all drivers for OS9 and 10.3.9 I also installed 10.4.8 for some tests. First I try visualizations in OS9 in iTunes 2.0.4 and I've got only 19fps in Panther with iTunes 7.0.2 effect are similar about 14-23fps. The best things happened in Tiger frame drops in DVD Player was noticed by me and iTunes visualizations are also very slow. On my old Beige G3 266MHz and older Ati Radeon PCI ME I've got about 40fps in iTunes 2 in OS9. I don't understand this situation my old machine with I sold almost two years ago was faster then my B&W G4 with Radeon 7000 ME witch I'm using now. I also tested this card in my PowerMac G4 AGP and in OS9 results was the same.
    Do you have some idea how to resolve this or maybe I've made a mistake somewhere or maybe this is normal thing for this card ?
    One more thing I installed the the ATI Radeon 7000 card after I had installed the system on B&W and G4 AGP.
    B&W G4 Mac OS X (10.3.9)

    "On my old Beige G3 266MHz and older Ati Radeon PCI ME I've got about 40fps in iTunes 2 in OS9."
    If your Beige had an original Radeon Mac Edition, that is a more powerful card than the Radeon 7000.

Maybe you are looking for

  • Quicktime NOT working

    After last update, Quicktime NOT working / crashes constantly. I do video editing as part of business, this is screwing up my life. I can't delete QT, can't update, repair, fix or solve in anyway I can think of. HELP PLEASE!!! In case info needed, I

  • Free of Charge Sales Order to GTS

    All of our sales orders pulls over the price into GTS for license assignment; except for one sales order type.  This sales order type is for FOC sales orders.  I have checked the sales order type in the R/3 and the GTS system and it is listed.  Anyon

  • Can i update firmware on g5 tower if it won't fully boot, pwr light flashin

    My powermac won't boot is it possible to try and update the firmware remotely? i.e via target drive, and if so how would i check which update to use i.e the 10.3.9 or 10.4.3 i think i was on 10.4 but not sure. I have been through all the remove cable

  • Error when launching JavaME SDK 3.0 on Mac OSX

    I have installed JavaME SDK 3.0 for mac, and it seems present and correct in my applications folder. However, when i try to launch it, the splash screen gets to 'starting modules', then I'm presented with an error: A java.lang.reflect.InvocationTarge

  • IPad 3 missings apps

    I seem to be missing weather, clock, stocks on my iPad as compared to iPhone 4s. Is this correct? Are not part of the standard apps on ipad3?