Feedback delay in simulations

Problem: Have created graphic of simulated instrument panel and display screens that update on clicking certain elements.
After 4 such simulations, with maximum number of clicks in a given slide at about 5, the succeeding slides containing sims no longer provide feedback in a timely way (1-3 seconds after the click is typical) and the event listeners for clicking are also delayed, not becoming active in time for the user to click if they know the answers.
Solutions tried:
breaking up the presentation into several smaller one. Delay in the later sections is still a problem, even if a sim slide is the only one in the set.
Adjust the timelines of later sims. No change to delays.
test one sim slide alone from the later sets, still too slow. Being in its own file did not change it's delayed behavior.
Published one of the later slides as an independent swf and opened in flash to see if there would be any clues. Saw the output panel cycle through several comments about an iterative integer based timer that looked like the actionscript was set to increment delays with successive events.
Currently building the sims in flash with actionscript and no longer trying to get captivate to behave, but does anyone know what's going on with this?
thanks.

Try resetting network settings.
Go to Settings, General, Reset, reset network settings.
Your phone will power off and then on again... you will have to reinput your wi fi password,
This helped for me, but keep an eye on it.
I have had to redo these steps several times.
Hope that helps.

Similar Messages

  • Delaying a simulating applet

    I wrote up an applet that shows how the selection sort works. I want to put a delay in after each swap. What is the easiest way to do this? I looked at the Timer class but that involves an ActionListener. Is there anything less involved?

    Hi,
    Thread.sleep(1000); //Sleeps one second
    /Kaj

  • Delay signal

    Hello,
    My purpose is to simulate an impulse generator, and i have some problems with the double impulsions.(see the first attachment)
    I want to delay a simulation signal, and i need to settle this delay.
    At the begin i try with the Y[i]=X[i-n] VI.vi and that work but it is impossible to settle it in time.
    So i downloaded the control simulation toolkit and I try to use the simex  transport delay.vi It look like fine, but I can't import it in my project same if i try to transform it in subVi. (see the second attachment)
    If you have another idea,I will be happy to see it.
    Could you help me please ?
    Solved!
    Go to Solution.
    Attachments:
    double impulses.png ‏21 KB
    delay signal.png ‏286 KB

    If your delay is in fractions of samples , no problem
    If not, you can do it with a filter.
    Here is a simple echo program using convolution (also in fractions of sample rate)
    Greetings from Germany
    Henrik
    LV since v3.1
    “ground” is a convenient fantasy
    '˙˙˙˙uıɐƃɐ lɐıp puɐ °06 ǝuoɥd ɹnoʎ uɹnʇ ǝsɐǝld 'ʎɹɐuıƃɐɯı sı pǝlɐıp ǝʌɐɥ noʎ ɹǝqɯnu ǝɥʇ'
    Attachments:
    Echo.vi ‏18 KB

  • Menu Timeout Problem

    Hi,
    I have just created a menu that has a little animation created in motion, it is about 35 seconds long, at the moment this animation just loops to the loop point about 4 seconds in.
    I have been asked by my client to make the menu automaticaly move onto the sub menu after the animation has played once. I tried using the timeout function set to 1 sec, but when the animation came to an end it just froze for about 1 min before jumping onto the sub menu.
    I have searched both the manual and this forum but have draw a blank, any ideas?
    Cheers for your help

    I tried using the timeout function set to 1 sec, but when the animation came to an end it just froze for about 1 min before jumping onto the sub menu.
    Setting Timeout = 0 and your submenu in the Action list must jump "almost" inmediatly.
    Are you using the Motion project as background asset in your menus and testing your project in Simulator? DVDSP must render on the fly the background movies from the Motion project and that causes delays in simulations. If that's the case, try building your project to your hard drive.
      Alberto

  • How to implement clock multiplier in vhdl

    Hi all,
         I want to mulitply the clock.  Actually i am using 50Mhz clock frequency, i want to make it as 100Mhz clock frequency.
    Without PLL,DCM, is there any other posibility to implement that using vhdl programing logic??
         I know that, how to divide the clock e.g   80Mhz clock divide to make 40Mhz clock frequency
        if(rising_edge(I_CLK_80MHZ))then
              CLK_40MHZ <=  not CLK_40MHZ;
        end if;
    like that, is there any other posibility to make clock multiplier using vhdl logic??
    Thanks and regards,
    M.Subash

    pvenugo wrote:
    Hi,
    Try this example
    library ieee;
    use ieee.std_logic_1164.all;
    entity F2 is
    port (fi : in std_logic; -- Input signal fi
    f0 : out std_logic); -- fo = 2*fi
    end F2;
    architecture behav of F2 is
    signal q,clk:std_logic;
    begin
    process(fi)
    begin
    if rising_edge(clk) then
    q<= not q;
    end if;
    clk <= not (q xor fi);
    f0 <= clk;
    end process;
    end behav;
    Regards
    Praveen
    I think your clocked process should be triggered by rising edge of "fi" not rising edge of clk.
    I assume the idea is to use the clock to Q (plus routing) delay to generate a delayed input clock signal.  As written, I don't see how the process would ever trigger (what makes "clk" have a rising edge in the first place).  How about something like:
    library ieee;
    use ieee.std_logic_1164.all;
    entity CLK_MULT2 is
    port
            clk_in  : in std_logic; -- Input clock signal at 1/2 desired frequency
            clk_out : out std_logic -- Output clock at desired frequency
    end CLK_MULT2;
    architecture behav of CLK_MULT2 is
    signal q_rise : std_logic := '0';  -- Clock --> Q Delay after rising edge of clock
    signal q_fall : std_logic := '0';  -- Clock --> Q Delay after falling edge of clock
    signal clk_dly : std_logic; -- Reconstructed delayed clock
    begin
    -- 1 ns delays for simulation to model clock to Q and LUT delays as unit delays
    -- q_rise toggles at each input clock rising edge
    rising: process(clk_in)
    begin
      if rising_edge(clk_in) then
        q_rise <= not q_rise after 1 ns;
      end if;
    end process;
    -- q_fall switches to match q_rise at each input clock fallin edge
    falling: process(clk_in)
    begin
      if falling_edge(clk_in) then
        q_fall <= q_rise after 1 ns;
      end if;
    end process;
    -- XOR of q_rise and q_fall reconstructs the input clock after some delay
    clk_dly <= q_fall XOR q_rise after 1 ns;
    -- XOR of input clock and delayed clock pulses at twice the input frequency
    clk_out <= clk_in XOR clk_dly after 1 ns;
    end behav;

  • My recirculating pump in sub vi simulation link doesnt work in the second iteration .It opens for maybe half a second whereas i gave the time delay for 5 secs..plz help very urgent

    Hi,
         I have attached my simulation loop.In the model attached i hav eone main pump with constant rpm which drives the 5 smaller pumps and fills the tank at the same time.As soon as the tanks reach their 90% level,the valves of the five pumps close(SP1,SP2,SP3,Sp4,Sp5).After that the recirculating pumps opens for 5 secs of the first tank.As soon as the recirculation finishes,the drain valve(SV1) for tank 1 open and the volume goes to interim storage.This happens for all the remaining tanks.
    My simulation works the first time,but when the second time the loop starts,it skips the recirculation pump even though i gave a time delay for 5 secs.Plz help ..I have attached the simulation.
    Thanks,
    Rami
    Attachments:
    Spatial Logic_2_Final.vi ‏223 KB

    Rami,
    I suspect that you have a race condition. The widespread use of local variables frequently leads to race conditions. Your subVI (Spatial Logic Sub_2.vi was not included) so I cannot run the VI. You have no way of knowing whether the subVI or the inner case structure will execute first, because there is no data dependency between them.
    I think a shift register or a few and some dataflow thinking would allow you to eliminate the inner case structure, the local variables, and, probably, most of your problems.
    Some of the SPi are indicators and some are controls. How are they used?
    The last case of the inner loop retursn to Case 1. Would case 0 be better?
    As for the second time through issue, it may be related to the Elapsed time function Auto Reset. From the help file: "Resets the start time to the value in Present (s) when the Express VI reaches the Time Target (s)." If more than 5 seconds elapses between the first time you use this and the next, it will exit immediately on the subsequent calls.
    Lynn

  • My phone is not jail broke or tampered in any way. I know ther is spyware or a 3rd party listener on calls exetra. Is ther an app called 'profile' ? That does this? I've taunted 3rd party listeners and gotten feedback in my ear more than once.robo delays

    I hear robotic voice / delays repeating my voice. I've taunted listeners for a sign and twice gotten loud piercing feedback in my ear on multiple occasions. Is this normal? I've downloaded system apps so I can see running iOS operations. Kernel, mobile, and apps I am familiar with some programming and do see questionable processes going on in my phone. Please help I'm not crazy.

    No jailbreak hum?
    Call ghostbusters!

  • Is there a dynamic delay for feedback z nodes?

    It seems the delay on the feedback node can only be hard coded. Is there a dynamic way to set the delay?

    No there is not. The feedback node allocates room for all the data at compile time, so it must know then how large the delay is.
    For a dynamic buffer size, you might search the forums or web for a LabVIEW circular buffer example, of which there should be many.
    Jarrod S.
    National Instruments

  • Toolkit for feedback system? Motion; PID; Control/Simulation???

    Hi, I have to develop/program an organ bath system - a feedback system mimicking real sinusoidal breathing oscillations (shown in attached images). I have NI Labview 8.5, NI-Motion 7.6, a linear motor (M-235 DC-Mike actuator), an MID-7654 Servo Power Motor Drive and a pressure transducer. I believe I will need to control the PID controller and am aware of the PID Control Toolkit as well as the Control Design and Simulation Toolkit for NI Labview. However, is it possible to control the system using the NI-Motion software I have at the moment? If not, do I have to purchase both the PID and Control/Simulation Toolkit or just one? Thanks in advance...
    Attachments:
    feedback design1.JPG ‏25 KB
    feedback system1.JPG ‏42 KB

    Dear Garry,
    Do you have a motion controller to interface the MID-7654 to your
    computer and LabVIEW? This would be the PCI-734x or PCI-735x. If you
    do, I believe that you could implement your application with LabVIEW
    and NI-Motion. You could do so by using the analog feedback feature for
    the control loops for each axis. Then, you could specify the optimal
    sinusoidal moves/pressure patterns that mimic real breathing patterns.
    The analog feedback from your pressure transducer will be used during
    the move(s) to maintain the pressure that you want.
    Please see Chapter 13 here for more details:
    NI-Motion User Manual
    http://www.ni.com/pdf/manuals/371242c.pdf
    Here is also a good discussion forum post on Analog feedback:
    Can i use NI-7358 to implement a hybrid position force/torque control system?
    http://forums.ni.com/ni/board/message?board.id=240&thread.id=2976&view=by_date_ascending&page=1
    I believe that the above option would work for you, and you would not
    have to use the Control Design and Simulation Module or the PID
    Toolkit. Please let me know if you have any additional questions. I
    haven't actually set up a system with analog feedback from a pressure
    transducer before, but I believe that the above would be a very viable
    option.
    Best Regards,
    ~Nate

  • Feedback nodes / delays and Resource Usage on FPGA

    Again it's time for an exotic FPGA semi-noob question from myself.
    This has been bugging me for a long time:
    When implementing a delay stage on a Virtex-5 target, we have a few options available.
    Feedback nodes : Uses LUTs.  Virtex 5 has 6-input LUTs.  Does this mean that a Feedback node with delay 1 requires the same resources as a Feedback node with delay 6 and a Feedback node with delay 7 requires double the LUTs as one with delay 6?
    Example: A single unit delay feedback node for a U16 requires 16 LUTs.  What is the LUT usage for 6, 7, 9 delay?
    BRAM : Uses few LUTs and Registers. I reckon I understand this one.
    Discrete Delay : Can't be used as feedback but is more efficient than feedback nodes?  It is written in the help that feedback nodes with the reset support disabled CAN be implemented as SRLs allowing the compiler to choose th ebest option whereas the Discrete Delay primitive forces an SRL  Is the SRL implemented using LUTs?.
    Which of these options is recommended for which purpose.  We're really filling our chip and need to start considering such aspects of number storage.
    Sorry for the over-reaching vague questions again.
    On the other hand, being on a steep learning curve is actually almost thrilling.  Every bit of information helps me learn so thanks for that in advance.
    Shane
    Say hello to my little friend.
    RFC 2323 FHE-Compliant

    JLewis wrote:
    The number of inputs is only indirectly related to the supported delay. The V-5 and above CLBs (Configurable Logic Blocks) can be configured as dedicated shift registers with delays up to 32 in a single LUT per bit. The main restriction is that these shift registers are not resettable, so you only get this implementation when configured without an initialization value. Delays above 32 can be efficiently implemented in multiple LUTs (ie, 1 LUT per 32 delay). These shift registers are known as SRL16 or SRL32, depending on the target family.
    So does this mean that on a LUT-basis, a shift register (with the reset conditions met) with a delay between 1 and 32 costs the same amount of resources?  33-64 delay costs twise that of a single delay?  Is this correct?  I think I need some benchmarking code.....
    JLewis wrote:
    Discrete Delay maps to the same shift register implementation as feedback nodes if the reset condition is met. Otherwise, the main difference is that the Discrete Delay exposes the dynamic delay feature available in the hardware shift registers and, as you noted, can't be used in a feedback cycle. If neither of those considerations is a factor in your design, it's just a matter of preference.
    This document from Xilinx contains the keys to the kingdom, as far as what hardware capabilities are available: http://www.xilinx.com/support/documentation/user_guides/ug474_7Series_CLB.pdf
    That's kind of what I thought.
    JLewis wrote:
    Hi Shane,
    Great questions!
    Well thank you,  Thanks for the answers.
    Say hello to my little friend.
    RFC 2323 FHE-Compliant

  • Amp Simulator causing huge delay

    So Ive had my mac since Aug 2006. Its worked perfect, all the features worked fine on my simple 1GB of ram. I bought the New iLife 08 a few months ago and it worked fine and I enjoyed the new features. However as of a few weeks ago my computer is acting like it weird, it seems it cannot use the Amp simulator anymore. Why? it causes a HUGE delay in playing. When playing, it will start like it usually does but the delay keeps going and going until I am play a note and dont hear anything till 5-10 seconds later.
    How could this happen? I dont know. It worked fine, nothing new was installed nothing new was added... It just suddenly decided it wanted to stop using the amp simulator. I even upgraded to 2GB of ram and I still! am having the same problem.
    Any suggestions?

    Hello,
    Accordin to the following link
    http://zone.ni.com/reference/en-XX/help/371361G-01​/lvtextmath/msfunc_eval/
    Using the "eval" function in Mathscript node will slow down a lot the process.
    Try to use mathematical functions directly rather intepreting the string.
    kind regards,
    Ion R.

  • Plug-Ins in a delay feedback-loop

    I would like to set plug-Ins in a feedback loop from a delay plug-In
    maybe in a simalar way like this:
    from a audio track "send", i route the send signal in a delay. the delay output goes after in other plugins (filter, reverb, pitch shifter) and comes back in the delay input, but only maybe maybe 20%-30% of the output volume.
    Does anybody know how to do this routing?

    Admittedly, I had not tried the routing I had posted. I was just "thinking it through"... evidentially, not very well...
    I knew I'd read multiple posts over at Sonikmatter about this sort of thing. Here's the first one I came across doing a quick search:
    http://community.sonikmatter.com/forums/index.php?showtopic=7282
    See if that gets you closer to what you had in mind...

  • Simulation loop feedback

    Can someone tell me how to input a process variable to PID.vi inside of a simulation loop? I'd use a shift point (I think that's what it is called), but that doesn't seem to work with simulation loops.
    Thanks,
    Brian

    muks wrote:
    But am still not sure about simulation loop.
    A Simulation loop is part of the LabVIEW Control Design and Simulation Module.
    bcglaxer,
    The Simulation loop does not allow shift registers because you can create feedback loops by simply wiring the output of a system back to its own input (usually through a summation block).  In the case of "PID.vi", this VI isn't very well suited for use inside a Simulation loop because the loop natiely calculates integrals based the user configured ODE solver settings.  The integral calculation done by "PID.vi" are not necessary in this case.  A better solution for PID control with a Simulation loop would be to use "CD Construct PID Model.vi" ouside of the loop, then wire the model into a Transfer Function block inside the loop.  See the attached image to see how this can be done.
    I would strongly recommend reading through the documentation for the Control Design and Simulation Module.  In LabVIEW, go to "Help>>Search the LabVIEW Help", then expand the "Control Design and Simulation Module" section in the "Contents" tab.
    Chris M
    Attachments:
    pid_simulation.JPG ‏28 KB

  • Would like feedback on role play simulation created in Captivate 3

    I created this simulation in Captivate 3. It is based on a soft skill role play simulation that was initially created for the Harvard Business School using Javascript.
    I was wondering if anyone out there is doing anything similar. I'd like to share ideas with them.
    http://progressive1.acs.playstream.com/ADPNAS//progressive/Walter_Test/Harvard%20Scenario. swf

    I just tried it. The look and feel of the project are wonderful.
    I noticed that many (most) of the choices weren't hot, that is to say a hand cursor didn't display when I put the cursor over them. On many slided I could only choose one option. Is the program still in mock-up? I  viewed it in the Chrome browser.
    I choose the "wrong" answer on a slide and liked the way it let me try again. But would the program be more effective in teaching if the feedback on the wrong answer slide gave information on how the answer is deficient? In this example the Director said I was being defensive and that is feedback on the choice I made. So I know the answer is "wrong" but not what specifically is wrong about it. Something like "Your response focused on (fill in  blank A) while the strategy we are teaching focuses on (fill in blank B). Go back and see what other response does a better job of directing her attention to (blank B).
    Similarly, the Directors response to correct answers tells me that I got the answer correct, but not why. Some sort of audio feedback (I'm inventing a narrartor here) who says something like "That was an effective response. Your response focuses on (B) which is a key aspect of our strategy.
    For what its worth, thanks I enjoyed the simulation.
    Doug

  • Update delay simulating

    Hello all,
    we have the following situation. From the repair order a service order is created. As per standard SAP u can configure the service order is released during it's creation. But due to certain reasons we are not using the SAP provided configuration for auto release. Instead we have recorded a BDC so that if a certain condition is met the service order will be released. Now the problem is that this BDC is running even before the service order creation is complete. Sometimes the complete updation of the service order in the database is not yet complete. Meanwhile this service order is being tried to be released. This is causing a failure and hence the service order is left unreleased.
    Now, we are trying to fix this situation. But my problem is that how can I test this? How will I know if my solution has fixed the problem? How can I simulate such update delays?
    Eagerly awaiting answers.
    thanks
    Bala

    hi
    this may happen due to that same time u r trying to update fields which are in same table that first update happened and second update not happened due to transaction commit.
    Use
    wait upto 5 sec.
    after first update then
    commit work.
    then second update.
    Hope now u got the problem.
    mark points if helpful.
    regs
    Manas Ranjan Panda

Maybe you are looking for

  • More days in week view

    Found a tip recently to change the dafault number of days within the week view of Calendar: Change to 14 days: Close Calendar Open Terminal enter following command within Terminal: defaults write com.apple.iCal CalUIDebugDefaultDaysInWeekView XX XX t

  • PO creation

    while creating PR to PO error coming- No selectable items exist for PR l_banfn Nikhil

  • How to I make an interactive workshop with a powerpoint file?

    Okay, I'm new to Dreamweaver, and to html stuff in general. My boss wants me to turn a powerpoint presentation into an interactive workshop using Dreamweaver. How do I do this?

  • Selecting material based on date

    Hello Experts, I have requirement where i want to give material and date. i have to select materia, condition record number based on date. In database table field is stored like material , validity from date and validity to date. Same material number

  • What can Power BI Replace ?

    Being new to Power BI have few basic questions... 1. What is Power BI suppose to replace from Legacy Microsoft BI tools - Performance Point Server?  2. Is Power BI an Enterprise analytic, reporting, dashboard tool ? Where does Power BI fits in Enterp