How to set input range to 100mv

I use AI_configure(....) to set input range.And i want to set input range to 100 mv .How should i do.
Please tell me..........my DAQ is PCI MIO 16E-4

You will need to set the gain of each channel using the AI_Read function. The input range parameter of AI-Configure is acutually ignored for E Series devices. The polarity parameter will allow you to set the range to unipolar (0 to 10 V) or bipolar (-5 to +5).
You use the gain parameter of the AI_Read to futher specify the input range. The valid gain settings for the 16E-4 can be found on page A-2 of the appendix in the user manual linked below. For a +/- 100 mV range you would set the polarity of AI_Configure to bipolar and the gain parameter to 50 of the AI_Read. I hope this helps.
PCI E Series User Manual
http://digital.ni.com/manuals.nsf/webAdvsearch/06F1C9FB0D0BA5C286256C010057461B?OpenDocument&vid=niwc&node=132100_US
Regards,
Todd D.

Similar Messages

  • How to set input "Description" to mandatory?

    Hi all,
    How to set input "description", from infrastructure blueprint request form, to mandatory?
    Thanks in advance.

    Hi all,
    How to set input "description", from infrastructure blueprint request form, to mandatory?
    Thanks in advance.

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How to set the Range size dynamically in an Iterator?

    Hi,
    One of my scenrio, i read the range size of Iterator and i performed the business logic validation. But in my case, range size is changed as per business requriement for a paricular Iterator.
    Is it possible to set the Range size property dynamically in an Iterator of pageDef File.
    I'm using JDeveloper 11.1.1.3.0
    Can anyone help me regarding this???
    Thanks & Regards,
    Perumal S

    Good afternoon,
    This works: f?p=1:#MYPAGENUMBERCOLUMN#::Session:Request:Debug:Clearcache:itemname:itemvalue:printerfreindly
    It works very well. I have been struggling for a couple of hours trying to figure out how to calculate the page number I wanted to link to from a report column defined as a linked item. I ended up modifying my VIEW to include the page number for that particular type of data and use this technique to jump to the correct page.
    Thanks for posting this. Nothing else seems to work.
    Don.

  • How to set input ready query to display mode as default when open workbook?

    Dear All,
    Hi, i have a question here about IP query.
    as my current situation, my workbook included one input ready query, therefore, when i open my workbook which default is Edit mode which mean all value can be edited.
    but, i want it to display mode when open workbook, and i already have a edit button, if i want to edit the value i will use Edit button, so, i wan it as display mode when i first open workbook.
    so, can anyone tell me how to set it as display mode when open workbook which included input ready query?
    Regards
    wenlong
    Thanks a million

    in Data Provide Specfici  commad
    Edit
    Dsiplay
    Filter command
    Assigen Query /Query view
    pls select Edit then save

  • How to set input ready query cell is different color?

    Dear All
      Hi, everyone, hereby i have a IP question.
      i would like to know, how to set the input ready query's cell different color between those cell which is unable to change value one in workbook..?
    Example :
      For my workbook which have a input ready query,
      i have 4 rows for my input ready query report.
      For the first row which is not input ready cell and unable to change any value for the cell, then following rows's cell can be change value,
      so, i would like to make first row's color cell different with following row's cell.
      In additional, all value of cell is initial zero.
      therefore, is there any mehtod to make different color between able cell and unable cell?
    Thanks a million.
    Regards,
    WenLong.

    Cells in the input ready query result have different styles given by SAP. All rows will have one style, column headings will have one style whereas input ready cells will have one style. If you are in Excel 2003, put cursor in the input ready cell, goto format -> style, you can see one BeX style attached to that cell, apply watever color you want for that style, save the workbook. If you do this for one cell, it will be applied to all the input ready cells by default. In the same way you can give different color to the non input ready cell styles. In Excel 2007, Cell styles are available in Home -> Cell styles. Here you can see all Bex related styles.

  • How to Set Input Levels with FirePod and GarageBand

    Hello ....
    I/ve got Garageband and my Firepod 8 channel interface working ... but I need some tips .. especially on the relationship of input levels between my Firepod and Garageband ....
    When I am setting input levels for a recording ... where should the input level be on the track in Garageband? .... Is that volume setting only for playback and mixing? .... or is it for the input as well ...
    Thank you ....

    Where should the initial input levels be set? ... Would you use the loudest
    part of the song to do this? ...
    Yes, as loud as possible without lighting the FP's clip leds.
    When I mix the final product ... and change the volumes on the different
    tracks.. aren't you then changing the input levels?
    No, you're changing the output levels.
    can't you red line and overload the track?
    Yes, that's why each track has a fader, so that you don't clip.
    Is there a master ouput level indicator
    Yes, right over the Master Volume slider.
    I guess you can't just put each track as loud as you want ... correct?
    As loud as you want without clipping.
    I would strongly suggest doing some web searches on "Mixing and Mastering" to learn more about how to mix, there's a TON of info floating around the web.

  • How to set input ready query to display mode and set edit mode in WAD?

    when I create A input ready query but not set query propery  start  query in change mode ,but I want to set this query in input ready mode ,how to set this in WAD?
    hope anyone can help me
    regard  by
    wenlong

    Hi,
          You can get all the info from this thread , try it out
           WAD - set data entry mode
           Hope it helps.
    Regards,
    Priya.

  • How to modify input range (DAQ assistant) with a numeric control ?

    hello every one
    I am currently working with the NI USB-6218 acquisition card.
    I would like, in order to acquire a signal, to be able to choose the input range of the DAQ assistant with a numeric control ( and not by opening the DAQ assistant window ) (like the "number of sample" and the "rate" entry...)
    Is it possible and if yes, how ?
    Thanks so much in advance for your answers !
    Solved!
    Go to Solution.

    hello
    sorry I forgot it. but after spending a few hours on the problem this morning, i solved it Apparently, I corrupted the general DAQmx assistant last friday. By restarting my computer this morning, this issue was solved and I was able to transform the constant into command for the input range.
    Thanks a lot for your help !!!
    Guyua

  • How to set Date range for a input parameter in a report

    Hi,
    I have 2 parameters start and end date. I want users to select the end date only 3 days from which ever start date they select. How to achieve this in parameter validation in SSRS?
    Thanks,
    Preetha

    Just make default value of Enddate parameter as below
    =DateAdd(DateInterval.Day,3,Parameters!StartDate.Value)
    Please Mark This As Answer if it helps to solve the issue Visakh ---------------------------- http://visakhm.blogspot.com/ https://www.facebook.com/VmBlogs

  • Voice Over: How to set Recording Range in Target ?

    Hi guys,
    I need help on Voice Over. I have been doing Voice Over without problem.
    But now I need help bcos I stumbled upon a new & irritating problem.
    QUESTION 1:
    When I select Voice Over, I cannot click the RED button to start recording because at the Audio File in Target (just the above the space we are supposed to type the filename), it says:
    "No Recording Range set"
    Previously, it automatically select A1, A2, A3 or A4 at random but this time it didn't and instead it says "No recording Range Set".
    What happened to system ?
    QUESTION 2:
    How do I specifically set it to output to a track of my choice ?
    Thanks

    Thanks Ian & Tom for the well explained answers. Now I understand.
    But I got another sub-problem.
    Like Tom says, it will create another track if there is not enough room. But what I did is slightly different.
    Ok, here is what I did. Not wanting to disturb the position of the rest of the voice-over clip, I locked all the audio tracks.
    When I did a voice over, it push down a3 to a5, and a4 to a6 so I have two new unlock audio tracks in a3 and a4 where the system placed the voice over clip. When I finally put the voice over clip the the correct position in a5 and a6, I ended up with 2 blank audio tracks.
    How do I delete these audio tracks ? I tried right-click and select delete track but the empty tracks remained there.
    How do I delete the unwanted tracks, then ?
    Thanks

  • Help with portforwarding, how do set a range with the M1424-WR Rev.F?

     Am trying to portforward Call of Duty: Black ops 2, and it's giving me a headache. Portforward.com says the REV.f won't forward ports, I can only DMZ( which I obviously won't do), so I'm following the M1424-WR instructions(http://portforward.com/english/routers/port_forwarding/Actiontec/MI-424-WR/Call_of_Duty_-_Black_Ops_...
    Since I only have an input box( the instructions for the different model gives two input boxes to set range) I simply copy pasted " 4379-4380", am unsure if it recognizes it as a range.

    heizenbrg wrote:
     Am trying to portforward Call of Duty: Black ops 2, and it's giving me a headache. Portforward.com says the REV.f won't forward ports, I can only DMZ( which I obviously won't do), so I'm following the M1424-WR instructions(http://portforward.com/english/routers/port_forwarding/Actiontec/MI-424-WR/Call_of_Duty_-_Black_Ops_...
    Since I only have an input box( the instructions for the different model gives two input boxes to set range) I simply copy pasted " 4379-4380", am unsure if it recognizes it as a range.
    Why not just put in 2 port forwarding rules?  one for 4379 and the second for 4380.  just make them a user defined protocol.
    I saw the comment at portforwarding.com - sounds ridiculous to me - The MI424-WR_Rev.F will not allow you to forward enough ports, to run Call of Duty - Black Ops II
    Even if they don't allow a range there can't be a reason they don't allow a seperate rule for each port.
     Here's the link to the user manual for the router
    http://support.actiontec.com/doc_files/MI424WR_Rev._E&F_User_Manual_20.10.7_v1_GPL.pdf
    you need section 6.4  pretty useless manual too

  • How to set input/output "use" attribute in the WSDL file of BPEL process?

    Hello,
    I have a BPEL process that I want to deploy it as an RPC web service. I want to access it from a Java module (via an automatic generated Java stub from the WSDL file exposed by the BPEL process).
    I wrote manually the corresponding WSDL file for my BPEL process, where I stipulated for the operations exposed by my process ( in <soap:binding> tag) the value of the attribute "style" to "rpc". Also, for each <input> and <output> of the operations (inside the <operation> tag), I set manually the attributes "use" to the values "encoded". Something like this:
    <operation name="getCustomers">
    <soap:operation style="rpc" soapAction="getCustomers"/>
    <input>
    <soap:body use="encoded" encodingStyle="http://schemas.xmlsoap.org/soap/encoding/" namespace="Trial"/>
    </input>
    <output>
    <soap:body use="encoded" encodingStyle="http://schemas.xmlsoap.org/soap/encoding/" namespace="Trial"/>
    </output>
    </operation>
    The issue is that, when the BPEL process is built and deployed, the WSDL file generated automatically by BPEL (based on the one manually writen) set the values of the "use" attributes to "literal". This is what is generated:
    <operation name="getCustomers">
    <soap:operation style="rpc" soapAction="getCustomers" />
    <input>
    <soap:body use="literal" namespace="http://acm.org/samples" />
    </input>
    <output>
    <soap:body use="literal" namespace="http://acm.org/samples" />
    </output>
    </operation>
    Is there any chance to keep the original values (written by hand) for the "use attributes?
    Many thanks in advance!
    Regards,
    Marinel

    I am not sure I understand your question. If you want all your BPEL service to be rpc still by default, you can change the templates: C:\eclipse\plugins\bpelz_0.9.XXX\templates.
    The BPEL PM engine support both style of invocation.
    I hope this helps. -Edwin

  • How to set input volume - currently very low results

    Hi there, I hope someone very kind and patient reads this and comes to my rescue.
    I am a singer / songwriter and am trying to set my laptop up so I can make simple recordings of my ideas. I therefore want to input vocals and guitar. I currently have a SM-68 dynamic mic but am thinking of getting a Samson G-Track condenser mic which is USB and has a built in audio interface so that a guitar may also be plugged in.
    I have gone into Sound Preferences and selected line-in.
    Rigged up my mic using a cable bought at the Apple store.
    However there is very little volume on the resulting recording.
    I have turned everything up to the maximum but this has made no difference.
    I wanted to keep the set up simple (and am on a tight budget) so I haven't got an audio interface.
    Could anyone talk me through the best way to set up - I am not very technical so can you avoid jargon as my poor brain may overload.
    If anyone has any info on the G-Track I would be grateful for any feedback. I am told that you can plug a guitar into it as it contains an audio interface.
    My fingers are crossed that my knight in shining armour with give me some sound advice.
    Many thanks

    http://www.bulletsandbones.com/GB/GBFAQ.html#micline

  • How is to set the input range of PCI-MIO-16E-1 (6070E) to be 0 to +5V?

    How is to set the input range of PCI-MIO-16E-1 (6070E) to be 0 to +5V? Thank you very much.

    Hi x2am,
    Here is a link to a document about setting input range limits.
    DAQ Input Limits
    Hope this helps!
    Jeremy L.
    National Instruments
    Jeremy L.
    National Instruments

Maybe you are looking for

  • How do I make one video plays after the other

    Let's say I have an idvd project with 5 different movies, how do I make one plays after the other? When I click next, it goes back to the menu. Thanks Alex

  • Vendor Content Information (Release 5.5)

    Hello experts, I am looking for information on Vendor Master implementations for MDM and some guidance on how to handle: Remit To Ordering Adress Mass changes at Purchasing Organization Level Mass changes at plant level I am trying to justify the imp

  • Export to PDF color problems with InDesign CC

    Please help. I am exporting an INDD file to pdf, and certain elements are not translating to the correct color. For example, I have a red box and some cyan type on a postcard, however the red box is showing up black in the pdf and the type is showing

  • How to display the column header in two rows?

    Hi Experts, I am using ALV_LIST_DISPLAY i neeed to display the column header in two rows.. How can i do that? Ex: purchase order i  need to display "purchase" in one row and "order" in second row. Thanks in advance, Sarath.j

  • HT4759 how do I find my ipod that was stolen?

    how do I find my ipod gen 5 that was stolen? I regestered the ipod as usual, and have the serial number.