Integrating PuTTY into Labview

Hi, the LabView serial port session VIs do not communicate well with my stepper motors (they do not respond to 50% of the stepper motor commands - Excitron stepper motors)   I want to create a VI that integrates the PuTTY serial port session manager into LabView so I can use PuTTY within LabView to control my motors.  Can someone help please?   I have found some mentioning of PIPES to do this but when I try to add it to my block diagram it says its unavailable - that source was from 2006 maybe there is another way now?  I am running LabView 2010 for Windows Vista and I am not allowed to download anything over the internet from here - just FYI.  Thanks Folks  EE
Solved!
Go to Solution.

For some reason LabView doesnt recognize several commands for my stepper motors:
w (wake up)
i (display current settings)
P (display motion profile settings)
Q (run proiles x-y)
It obeys the others but I really need w, P and Q to work
PuTTY runs everything
I am using the basic serial port control VI (given in the examples) for the LabView control
I am using VISA
I was hoping integrating PuTTY would be easy and I could have full control in LabView
Perhaps I should be doing something different in LabView?  I have only been programming for 3 months - it was new to me until then

Similar Messages

  • Integrating matlab into labview

    Is it possible to integrate (import) a matlab file which uses special toolbox ( like hmm toolkit and neural network) into LabVIEW??

    When you say "one motor is connected to one matab file" - do you mean one .M file, or a MAT file ?  In other words, is it a program or data?  
    The easiest way that I"ve come across to transfer data between different environments, is to write to an intermediate file.   Be sure to  write to a raw binary or text (dont use the Matlab 'save' command, that will save in MAT format, which I'm pretty sure is unreadable by LabVIEW).   There is the problem of signalling the LabVIEW program when data is available, you could handle this by having the Matlab program could write to another file to indicate that data is availalbe, and the LabVIEW program could continuously poll this file to see if a value has been written.   Then the LabVIEW program would read in the data file, and reset the signalling file.

  • Integrating SLM into LabVIEW without external programmes

    Salutations,
    I'm trying to integrate the SLM(Spartial Light Modulator) into my LabVIEW VI.
    We used to this programme called the "HOLOEYE SLM Application Software 2.2 for the LC 2002" to adjust the grey level for the SLM.
    Now, I want to build up certain controls to adjust the grey level of the SLM, WITHOUT using any external programmes.
    We have tried using the example VI, which is the "Basic Serial Write and Read.vi" which is the first screenshot.
    However, when we type in the RS 232 code in the write buffer, the command wasn't executed even though the programme was running normally.
    We also tried building our own VI, which is the second screenshot, and the same thing happens.
    The SLM did not respond at all. It remains the same.
    Greatly appreciate your tips/advice/help to me.
    Thanks, and cheers!

    Are you sure a carriage return and line feed is what you need to send and not just a line feed?
    You have an IDN line, a blank line, then a command.  Are you sure the command is correct?  Try removing the IDN and the extra blank line.  Those might be interfering with your final command.  Basically you could be overloading the instrument and not allowing it to responde, or confusing it by sending an extra linefeed in there.
    Why are you reading zero bytes in the VISA read?  And you don't have any string wired to the data that is coming back from the VISA read?
    Have you tried the commands in Hyperterminal to see if they work there?
    You should also have a wait statement in the loop.  Right now you are running that loop infinitely fast.  That might be flooding the instrument with commands.

  • Integration of C++ program into Labview

    I have written a C++ program that generates low level code to control a machine. I would like to integrate this program into Labview. I would like to know what would be the best approach to do:
    - use CIN (code interface node). Can I take a few parameters as inputs and pass a string of characters to labview? Originally the C++ program generates a text file with the comands to control the device, I guess this can be substituted by a string control/indicator that will control the GPIB device.
    - use ActiveX
    - DLLs??
    Thanks in advance for your help.

    Madrid,
    I would recommend that you stir away from CINs. If you can compile your C++ code into a DLL, then you can easily call it from LabVIEW. CINs have the disadvantage that if you change your code in anyway, you have to update the CIN node in the diagram of the VI. However if you recompile your DLL, you don't have to inform LabVIEW that you have a newer version of your DLL. If the newer DLL is in the same path that LabVIEW is searching, then it'll link to it automatically.
    ActiveX is also a good choice. It might take more development time than creating a DLL though.
    My two cents,
    Azucena

  • Tutorial on integrating C/C++ code into LabVIEW (6.0 through 7.0)?

    Where can I get a tutorial that will show me how to integrate C or C++ code into LabVIEW?

    I would also check the Using External Code in LabVIEW manual, found here
    Bilal Durrani
    NI
    Bilal Durrani
    NI

  • Converting HP-BASIC code into Labview or Labwindows

    I need some insight on as to whether I can use all my HP-Basic code in labview or labwindows and how? Can I create dlls and call them into labview or use the code itselg in LabWindows?
    Any information will be appreciated.

    A very long time ago, there was a BASIC version of LabWindows.  You could not convert a Rocky Mountain BASIC program directly, but it was a fairly simple fit.  You could then translate that into C code automatically through LabWindows.  That was one of its selling points; you didn't have to lose all of that old BASIC code.  About 10 years ago, they decided to go with only a C version of LabWindows and renamed it LabWindows/CVI.  This change was made because most people who were converting from BASIC to C already had and supporting two languages and a translator was very expensive (cost, memory, processing power, complexity, - expensive in every way). 
    If someone has an old version, you might have an upgrade path with your old code (no, I don't have any that old).  Otherwise, you could build DLLs and call them with either LabVIEW or LabWindows/CVI.  You could also recreate the code with the newer language.
    Hope that this helps,
    Bob Young
    Bob Young - Test Engineer - Lapsed Certified LabVIEW Developer
    DISTek Integration, Inc. - NI Alliance Member
    mailto:[email protected]

  • Help with reading information coming from a software into LabVIEW through Serial port

    Hi,
    I am new to LabVIEW and also an amateur in using RS232 for communication. I have this software that has these icons like speed increase/decrease, elevation up/down, start/stop. Now, when I click these buttons on the software, they perform the appropriate functions. For example, if I press start button, the signal must go through a serial COM port into LabVIEW to start the machine. How do I do that? How do I find what format the code word is when I press a button on the software? And how to decode the information to read whether the button pushed is start etc...? Any help would be appreciated.

    I may not be perfect, but I'm all I got!

    That information would be in the programming manual for the device you're controlling. Unless you have a device that has no documentation or you cannot get the documentation that's the first place you should look. If you cannot get the documentation at all then you've got some reverse-engineering to do. To do this you will either need a serial port sniffer (a hardware device), or you can try to use a software-based port capturing program. On Windows PortMon is the most prevalent. This will show you how the port was configured (baud rate, stop bits, etc). Warning: the information is technical.
    As far as how to get it running in LabVIEW, you should take a look at the serial port communication examples that ship with LabVIEW. The most problems occur in figuring out how to (a) terminate a write command, and (b) determining when to stop reading. For (a) this is typically done by appending a carriage return or linefeed to the command. This is device dependent. For (b) this is usually done by the byte stream ending with a character like a linefeed. Again, this is device-dependent. 
    You may also want to peruse this KB article: Serial Instrument Control Tutorial. There are also lots of tutorial on the internet for basic tutorials on serial port communication.

  • How can I get an unsigned char string with nulls from a dll into LabVIEW 6i?

    The following ethernet packet data is contained in an unsigned char * string returned from my dll (it's formatted on printing):
    Received A 230 Packet On Adapter 0x008F0070
    Ethernet Dest: 01.E0.BB.00.00.15 Src: 00.E0.BB.00.DD.CC Type: 0x8868
    000000: 01 E0 BB 00 00 15 00 E0 : BB 00 DD CC 88 68 48 41 .............hHA
    000010: 00 E0 BB 00 DD CC 80 B3 : 00 00 FF FF 00 02 00 01 ................
    000020: 01 00 F0 18 FF 7F 7F FF : FF 7F 7F FF FF 7F 7F FF ................etc., etc.
    However, when I read this string into LabVIEW 6i, I only get the following:
    01E0 BB
    Which is the data before the first NULL or 00 information. I found a "Remove Unprintable Chars.vi" but it
    just sees more data before the above string, nothing after, as seen here: 5C30 31E0 BB.
    Anybody have any suggestions for how to get the rest of the string? Is there something I can do to further reformat my dll? The dll I'm using is already a wrapper around another dll so I have some flexibility, but the bottom line is, the data I want is in the format of an unsigned char *.

    Excellent advice, this mostly works so I have some further questions:
    I am just reading network traffic off my ethernet card right now, but here is what I get using my C program to test:
    000000: 01 E0 BB 00 00 15 00 E0 : BB 00 DD CC 88 68 48 41 .............hHA
    000010: 00 E0 BB 00 DD CC 80 B3 : 00 00 FF FF 00 02 00 01 ................
    000020: 01 00 38 3C FF 7F 7F 7F : 7F 7F 7F FF FF 7F 7F FF ..8<............
    000030: FF 7F 7F FF FF 7F 7F FF : 7F 7F 7F FF FF FF FF FE ................
    000040: FE FF FF FF FF 7F 7F 7F : 7F 7E 7E 7F 7F 7E 7E FF .........~~..~~.
    000050: 7F 7F 7F 7F FF 7F 7F 7F : 7F 7F 7F FF FF 7F 7E 7F ..............~.
    000060: 7F 7F 7E 7F 7F 7E 7F FF : FF 7F FF FF FE FF FF FE ..~..~..........
    000070: FF FF FF FF FF 7F 7F FF : FF 7F 7F FF FF FF FF FF ................
    000080: FF 7F 7F FF FF 7F 7F FF : FF 7F 7F FF FF 7F 7F FF ................
    000090: FF 7F 7F 7F FF 7F 7F 7F : 7F 7F 7F FF FF 7F 7F FF ................
    0000A0: FF 7F 7F 7F 7F 7E 7E 7F : 7F 7F FF FF FF FF FF FF .....~~.........
    0000B0: FF FF 7F FF FF 7F 7F FF : 7F 7F 7F FF FF 7E 7F FF .............~..
    0000C0: FF FF 7F FF FF 7F 7F FF : 7F 7F 7F FF FF 7F 7F FF ................
    0000D0: FF 7F 7F FF FF 7F 7F 7F : 7F 7F 7F FF FF FF FF FE ................
    0000E0: FE FF FF FF 00 01 : ................
    And here is what I get using LabVIEW to call the dll:
    0015 00E0 BB00 DDCC 8868 4841 00E0 BB00 DDCC 80B3 0000 FFFF 0002 0001 0100 9600 7F7F 7F7E 7F7F 7F7F 7F7F 7F7F 7F7F 7F00 B405 4300 3300 0000 0000 0000 01E0 BB00 0015 00E0 BB00 DDCC 8868 4841 00E0 BB00 DDCC 80B3 0000 FFFF 0002 0001 0100 9600 7F7F 7F7E 7F7F 7F7F 7F7F 7F7F 7F7F 7F00 F405 1B04 0C04 0000 0000 0000 8000 0000 0000 0000 0800 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000
    The first thing I notice is that the first 4 bytes are chopped off, and after about 50 bytes, the data is corrupted until the sequence starts to repeat, but this time it starts with the missing 4 bytes and still corrupts after about 55 bytes.
    I am expecting the data in LabVIEW to look very similar to the C data because the network packets I am grabbing are pretty consistant, only a couple bytes will vary between them, not the number I am seeing in LabVIEW.
    Another side effect I'm seeing is that I can only run my labVIEW code once, if I try running it again it crashes with failures such as:
    memory could not be "read"
    For reference, I am opening and closing the network adapter inside the read function of my dll, but the pointer seems like it should be intact...
    Attachments:
    zListAdapters.vi ‏30 KB
    listAdapters.dll ‏201 KB
    Reading.dll ‏213 KB

  • Way to acquire more than one sample at a time into Labview from cFP?

    In reading data from my cFP into Labview I see that I can use the FP Read.vi but it only grabs one sample per call (loop iteration).  In other devices, I could just use the AI Acquire Waveform.vi to grab multiple samples per call.  Can I use this for cFP?  Is there another way to grab more than one sample per call from my cFP?  I'm just running it from a host computer, not using RT.
    My specific problem is that I have two things I want to do with the same signal, one slow (PID control loop) and one fast (data logging).  If I put the FP Read.vi in the same loop as the PID control, I can only get one sample in the time it takes the PID loop to run which is too slow.  I'm trying to think of ways around this problem.
    Thanks,
    Hosehead

    Hello Hosehead,
    With FieldPoint there is no way to acquire more than one same at a time like
    you can with NI-DAQ.  With the FieldPoint read and write VIs
    you can only read or write a single point at a time.
    Please see the Sampling
    Speed of FieldPoint Modules document for more information on cFP
    acquisition rates.  This document explains how channels are updated, how
    often the data is updated, and what happens if you miss samples.  Please
    note that you will be able to acquire more samples if you are running your
    application on the RT controller and not on your host computer.
    If this does not answer your question, can you please post more data about the rate
    you want your PID loop and your data logging loop to run at.
    Regards,
    Jesse O.
    Applications Engineering
    National Instruments
    Jesse O. | National Instruments R&D

  • How do I install instrument drivers contained in LLB into Labview 8.6?

    I downloaded a third party Labview driver for a temperature chamber (Watlow) and unzipped it to find it had an LLB library. I can access all the VIs through the LLB manager, but how can I take those VIs and import them into Labview so that I can then find them in my I/O instrument control Palette? Since these VIs are in an LLB, I don't know how to take them out of there and put them into labview 8.6. Any suggestions will be very much appreciated.
    Thanks and regards,
    Rick

    Hi,
    I am trying to use a Diolan DL2-N USB to I2C/SPI/GPIO adapter (https://diolan.com/dln2). This manufacrures provides Labview drivers for the board as well as example VIs. I just want to use them to access to all interfaces via Labview. After that I will design a test setup for our last product line using this adapter board.
    What i did:
    1) Fresh install of Labview 2014 (evaluaton version). System: DELL Precision M6800 (i7) , Windows 7 Professional SP1.
    2) Install NI VISA drivers and NI-488.2 drivers (14.0).
    3) Install manufacturer drivers as explained in the link given in this thread.
    4) Run Labview. As said, I can see instrument driver VIs under the Function Palette and run example VIs.
    5) Run NI MAX. No USB ports listed. Only COM1 and LPT1 (printer port).
    6) I ran some windows test apps provided by the manufacturer to access to all the interfaces of the NXP microcontroller in the DLN2. Everything works. I can read analog/digital inputs, force digital out values and use the SPI and I2C (I see the SPI/I2C frame sin a scope).
    I have spent one day seeing different links in NI website (have seen almost every demo video on the site) and still are not able to guess why Labview can't see my USB device.
    Any hint?
    Regards,
    Jose

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • I wish to know whether a program in VB can be downloaded into labview to make it into a VI

    I am interested in controlling a stepper motor using labview. I have the program developed in VB and the code is working perfectly. I wish to know whether it is possible to convert the VB file into a VI file with all the control palettes and loops. If yes, please give me the method of doing it.

    I'm not aware of any method for directly "translating" Visual Basic code into LabVIEW. However you can make use of the ActiveX and DLL access capabilities of LabVIEW to control a VB application.
    Also, I would suggest that you post your question in the LabVIEW section of Developer Exchange and not in the Motion section, in that way your question will be seen by LabVIEW users and not only Motion Control users. There will be lots and lots of more users in LabVIEW than in Motion Control that may give suggestions.
    The Development Library section in the Developer Zone will provide you with code and application notes for controlling external applications or code with LabVIEW.
    Good luck!
    Nestor.
    Nestor
    National Instruments

  • Loading a Previously Saved Task into LabView

    I have created a digital generation task in LabView and saved it using DAQmx Save Task.vi. I am able to open and run my task in Measurement and Automation Explorer. Now I am wondering if it is possible to load this task back into LabView and run it in a new program. Can I do this with the task saved from DAQmx Save Task.vi or would I need to go about it differently? Where would I start loading the task in terms of vi's?
    Thank you for your help.

    I am actually not asking the same question as before. Previously, I was having problems using a LabView-created task in MAX. Now I am attempting to create a task in LabView and bring it back into LabView on a separate VI. I have found the answer, though.
    https://decibel.ni.com/content/docs/DOC-15842
    Thank you for your help.

  • Has anyone integrated OpenID into the Mac OS X Server Wiki and Blog?

    I am currently working on integrating OpenID into the eXist Open Source XML Database (http://exist-db.org). As a separate topic, I was wondering if anyone has integrated OpenID into the Wiki, Blog and OpenDirectory.

    do
    you
    have
    any
    adviceon
    the
    best
    way
    to
    do
    this?
    or
    can
    you
    guide
    me
    to
    a
    beginners
    guide
    on
    how
    to
    set
    up
    a
    Web
    page?

  • How do I get my RF signals transmitted via the Bluetooth protocol into Labview for evaluation?

    Hi! I have a few questions about how to implement Bluetooth into labview. I do have a stream of 128 kbps of raw data that i would like to transfer with bluetooth and eventually get into a pc with labview. What kind of hardware do i need on the recieving PC side and what kind of software is recommended? Would be glad to get some advice to get me started on this matter. I think that the use of an USB dongle would be possible and just connect to the USB port. Is there any documented test on this matter and how it turned out? Maybee i should say that my system is a cable replacement that sends packets of 16-bit from 8 channels and it is important that this data wont be delayed to m
    uch. Thanks for all answers, contacts etc /Peter

    Petter,
    A google search on Bluetooth and LabVIEW yielded a link to a National Instruments page ( http://zone.ni.com/devzone/conceptd.nsf/webmain/5EB9312A6470F16A86256E7500726F15 ) on this very subject. You might want to start there.
    Dirk

Maybe you are looking for

  • Using graph in forms 10g

    Hello, I am using FormsGraph in forms 10g. Now I need additional options - more visualized graph and dynamic graph - like in Flash. Is there a way to achieve that using a java bean? Is it possible to use flash inside a form window? Thank you, Nina

  • Payment Advice using Correspondence

    Hi friends, I need to generate the Payment Advice for Vendor Payments. The issue is that I am making the payments through F-53 and the payment method is Bank Transfer. Is there any way of generating the Payment Advices in this case? Has anyone genera

  • When I access AOL on Firefox a "plug in tool bar" appears on the right side of my screen obliterating my scroll bar. How do I get rid of this annoying plug in bar?

    AOL says it's your browser that is causing this, not AOL and it makes AOL pretty useless to use on Firefox. Much easier to use Windows Explorer. Can you get rid of this "browse or add plug in" bar? How do I get around this? Using Firefox on a Windows

  • Toshiba lcd tv

    i bought a Toshiba 55" LCD (55g310u) television from leaside best buy it was a display piece so when purchasing the the best buy employee said they did not had the box for it because it was the display piece so they just gave me the LCD but now i req

  • No add-on sounds, but sounds from websites [video, etc] are just fine.

    But the download completed sound from download status bar or down them all are not working. I've already looked at my 'mixer' under the volume control on Win7, I also went from Firefox 11.0 to Aurora 12.0a2 with still no sound. All add-ons are up to