Inter-SLR compensation in Synthesis Timing Report
2015.1 targetting xcku115
The timing report out of synthesis is showing huge intra-clock hold time violations of up to -1.406 ns, 500,000 failing endpoints. These errors show for pretty much all clock domains, both fast and slow clocks.
'View path report' shows inter-SLR compensation on these failing nets. Why does Synthesis assume these nets will all be crossing between die? The current netlist fits nicely all into single die with all the IOs. I have a pblock constraining everything to one die and the timing report mentions that pblock too.
Are there any directives to use that can guide synthesis better in this scenario?
-bisector
Inter-SLR Compensation (inter-SLR penalty) is applied to compensate for any possible PVT differences between SLRs in SSI devices.
A simple rule is that if either the clock paths or data path crosses the interposer, the path should be penalized,
except for some special clocking scenarios (e.g. clock is common to the same SLR).
In this example, the data path goes out of the SLR and then comes back.
Therefore, Inter-SLR Compensation is applied even though the source and destination are in the same SLR.
Similar Messages
-
SCCM Application Instalation timing reports?
Hi,
I am trying to get a report on "when an application is installed" on a machine.
From going through the reports - the closest report that I can see is called the
"Software Distribution - Application Monitoring - Hidden" if I drill down.
So this rasies a few questions.
1. I have noticed the time is reported in UTC which is inconvient - I would prefer local time.
Looking at other posts it has been mentioned the only way to fix this is to edit the report. But how I can edit it?
Or maybee safer to copy it somehow to another report.
2. Is this the best report I should be using to get this data? Or is there a more suitible report.
3. If I am looking at a whole machine and I want to time multiple deployments. For example
5 applications going to a machine is there a report for this or do i need to roll my own.
Or run the above report 5 times.
Another other suggestions greatly appreciated.
Thanks,
Ward.Hi,
What is the report name before you drill into "Software Distribution - Application Monitoring - Hidden"?
The following thread might get you start.
http://social.technet.microsoft.com/Forums/systemcenter/en-US/0c06a469-db57-4f85-8c6a-2620f6365d31/how-to-report-on-inventoried-software-install-dates-on-sccm
Best Regards,
Joyce
We
are trying to better understand customer views on social support experience, so your participation in this
interview project would be greatly appreciated if you have time.
Thanks for helping make community forums a great place. -
Segment Reporting.... What happens to Inter Company Transactions
I have 2 simple questions, please help me if you know the answers:
1. Inter Company: When we report at Segment Level (ECC 6.0) how do we eliminate Intercompany sales for company codes belonging to same segment. Is there a standard program to do this.
2. Inter Segment: Are there any standard reports for Inter Segment.
Please do share you experiences with Inter Company and Inter Segment scenarios and reporting.
ThanksThe problem is you need to create COMPANY and assign the same to both company codes in OBY6.
The following information must be useful.
You can post the cross company code postings even between two controlling areas. The system does not prevent to post documents between two company codes in two controlling area. Need not necessarily they should be under one operating concern. Provided you have to make sure that you have followed the following points:
In OBY6 - To company codes must be assigned to same Company, meaning that you mus thave created a Company and that company must have been assigned to these two company codes.
OBYA - You should maintain the clearing accounts in both the company codes. Meaning that you are posting one leg in one company code and the other leg in the other company. Therefore, it is required to have the missing legs in each company code.
Company Code1 - Posting Key 40 - Clearing Account
Company Code1 - Posting Key 50 - Clearing Account
Company Code2 - Positng Key 40 - Clearing Account
Company Code2 - Posting Key 50 - Clearing Account
OB08 - In case if the two company codes have different local (company code) currencies, you may maintain the exchange rates.
You can now go to F-02 and post a cross company code transaction giving the first leg in first company code and the second leg in second company code. Missing leg in the first company code will be posted to the GL Account mentioned in OBYA and the missing leg in the second company code will be posted to the GL Account mentioned in OBYA. That means you will have four line items overall.
The system will post three document.
Document 1 in First Company Code
Document 2 in Second Company Code
and
Document3 - Cross Company Code Document.
The cross company code document consists of the first company code document number plus company code plus last two digits of the fiscal year.
Hope this must have clarified your doubts.
Please let me know in case if you need further details.
Thanks,
Ravi -
How to find patch timing details in oracle 11i applicaitons
Hai -
Today i applied 30 patches on our oracle 11.5.10.2 application.
I would like to see how much time it took for installing these patches. Could any one provide SQL Script to list out like patch name, patch start time and patch end time and host name.
Apppreciate your support on this.
Regards
VSHHi,
You can determine this from OAM or from adpatch "Job Timing Report".
Note: 181665.1 - Release 11i Adpatch Basics
https://metalink2.oracle.com/metalink/plsql/ml2_documents.showDocument?p_database_id=NOT&p_id=181665.1
Check also the following documents for "AD Administration and AutoPatch Timing Information"
AD Administration and AutoPatch Timing Information
http://download-uk.oracle.com/docs/cd/B25516_14/current/acrobat/11iadproc.pdf
Oracle Applications Maintenance Utilities
http://download-uk.oracle.com/docs/cd/B25516_14/current/acrobat/11iadutil.pdf
If you need a query to show the timing, query AD_PATCH_DRIVERS table.
Note: 443761.1 - How to check if a certain Patch was applied to Oracle Applications instance?
https://metalink2.oracle.com/metalink/plsql/ml2_documents.showDocument?p_database_id=NOT&p_id=443761.1
find applied patches.
Re: find applied patches.
Regards,
Hussein -
Branding inside html ewa reports
Hello,
Anyone know if it's possible to modify SAP logo or add a new company logo inside html ewa reports ?
regards,
LuisHi Luis,
Unfortuantly, as far as I know it is not possible to modify the EWA report (no customizing is possible).
However, what you can do is change some parts of the Service Level report which is subset of the EWA report which might help.
You can change the Frontpage and Header and Footingin the SLR setup,
when you navigate to node "Variant <variant_name>", in tabs
- Report Front Page Customizing
- Report Header/Footer Customizing
Please see further details in www.service.sap.com/slr -> Media Library
> "Service Level Reporting - Introduction", page 13.
Unfortunately the SAP Logo cannot be removed or replaced from the SAP
Standard Reports, but you can add/remove lines of text as per the
docuemntation above.
Thanks and Regards,
Roland -
how to determine the maximum delay between input changes and the according change of the output with VHDL simulator?
Hi,
In case of Vivado you can synthesize and implement the design.
In each stage you can perform post synthesis timing simulation and post implementation timing simulation respectively.
In case of ISE you can perform post Translate/Post Route Simulation.
for steps regarding use of ISE timing simulation, please refer below given note
http://www.xilinx.com/support/documentation/sw_manuals/xilinx11/ise_c_simulation_timing.htm
Thanks,
Shreyas -
Timing issues in implemented design
Hello everyone,
I have been reading in these forums for a long time now, but have never posted. Possibly because I have never had such a design-specific issue that was above my knowledge...I wish my problem was more "friendly" for a first post.
Anywho, my problem is as follows: I've been working on a design for a few months now and everything was working fine up until recently (apart from logic errors of course that I would run into and fix here and there). I recently integrated a module that I did not design myself. My behavioral simulations work fine and all of my previous functionality seems to be working as well when the design is implemented. However, the functionality based on the "foreign" module has introduced problems.
What I am using: Spartan 6-XC6SLX16 and therefore ISE
Here are some of the functional symptoms I have recorded so far:
- The functionality always produces the same output after the design is implemented and uploaded
- The functionality can differ if I change something (no matter how small or where) in the design itself
- The same applies for changing constraints
- After some implementations+uploading the functionality works correctly, and in other cases it fails by either producing erroneous results or just "getting" stuck (i.e. one of my FSMs)
- The critical path w.r.t. my system clock is in the module that seems to be the source of the problems
This leads me to believe that there is some timing-related issue. The only timing related constraint I have used is for my system clock period. The timing report does not show any errors regarding this constraint.
My guess so far would be that I have an unconstrained path problem, possibly in combination with insufficient account for system and input jitter, as the design does take up around 40-50% of FPGA. The problem is that I have no experience in tracking such an issue down, so I could definitely use some pointers in the right direction.
Things I have tried:
- Basic logic debugging of the input-output behavior of the problematic functionality using chipscope
- Post-PAR simulation of the module that includes "foreign" components: Works fine with a 100MHz constraint
- Increasing the frequency constraint for the entire design from 100 to 120 MHz but that was just out of lack for better ideas, it did not help.
- Looking at the unconstrained paths that the timing analysis can provide. I was hoping to find something related to the component that seems to be causing the problems, but no luck. Mainly just entries related to all of the ring oscillators that I am using, which it seems to be interpreting as a clock domain crossing case. These, however, have nothing to do with the problematic portion of the design.
I have a few questions at this point:
-Can one problem lead to a totally uncontrollable landslide of other seemingly independent problems?
-Also, how do I make sure that I get ALL of the unconstrained paths and not just a subset?
-Could it even be an unconstrained path issue if nothing in the report indicates that there is an unconstrained path in the problematic region?
I hope I have described my problem thoroughly enough for you to make some educated assumptions about what could be going wrong. I'm interested in learning what typically causes such problems. I am aware of synchronizing between clock domains, or the de-assertions of asynchronous resets, the standard problems...but I missed something critical here and I don't want it to happen again. Perhaps somebody has a pointer for me where I could do a little more digging?
I will set up a timing simulation of the entire design, but as this is a rather lengthy process it will be some time until I get some results.
Cheers,
ShibbyHello,
Thank you for the quick replies so far.
austin wrote:
So, my questions to you are:
Did you review the entire (verbose) timing report?
What is your smallest positive slack number?
What is your system jitter? Your clofck source jitter?
How well is the device bypassed on the board you are using (is it one of ours, or one from our distributors)?
- Yes, I went over the entire verbose timing report that DIDN't cover unconstrained paths. However, when I did have the timing analyzer output unconstrained paths as well, there were a lot of timing errors. I assume this is due to the fact that unconstrained = period requirement of 0 and the slack is therefore always negative? I had a look at these and none of them really indicate a problem to me.
- The smallest positive slack in the case of the standard verbose timing report was 0.304ns.
- The system jitter is (the default?...I did not specify it in my UCF) 0.070ns. The other jitter values (TIJ and DJ) are both set to zero. So the resulting clock uncertainty is very small...possibly too small.
- The board is a Nexys 3 from Digilent. I assume they know their stuff when it comes to capacitive bypassing of ICs. How would this play a role? If I've understood correctly then this is correlated to the system jitter. Bypassing problems would lead to larger/more frequent voltage dips (especially for larger designs) and therefore a clock that exhibits more/larger uncertainty (i.e. jitter)?
I think my lack of knowledge in correctly constraining larger designs might be causing the problems here. But perhaps also not, so just to be sure, I want to ask the following (possibly stupid) questions:
- Instead of increasing parameters related to jitter of a certain clock, wouldn't increasing the period constraint of that clock have the same effect? As I wrote, increasing for example the system clock constraint to 120MHz rather than 100MHz showed no improvement of the problem...I'm not sure what this tells me...if anything at all.
- I do have another clock domain and I do synchronize signals (there is nothing big going on, mainly just handshaking) between the two domains. What could the effect be if I removed the period constraint for one of the clocks apart from possible timing problems in that clock domain?
- The ring oscillators that I have clock certain FFs if oscillators enabled, however I do not know their exact frequencies. Would it suffice to just use a known upper bound?
The latter two questions concern parts of the design that habe been there for a long time and have no functional connection to what was added that seems to be causing the problem, though.
austin wrote:
ISE ignores clock domain crossing (Vivado does not, but Vivado only supports 7 series and later devices). Do you have any unidentifed clock crossings that require synchronizers?
Yes, I do. As mentioned above I synchronize my handshake signals between clock domains using simple level synhronizers (since I'm not doing anything fancy like transforming pulses). I am also synchronizing the de-assert of my asynchronous system reset in both clock domains using standard reset bridges, which are basically just level synchronizers.
Interestingly, the timing report containing unconstrained path info tells me that one constraint was not met whereas the other one does not. It also concludes that the maximum frequency is lower than 100MHz, but gives the same result for the system clock. If I've understood correctly, this is due to the fact that there is an unconstrained path with maximum combinational path delay larger than 10ns. I had a look at this path (there are a few of the same type) and it is a path that I don't think needs to be constrained, so I wouldn't see this as the issue.
Albeit having looked at the timing reports, I wouldn't exclude that I've missed something, simply because I haven't dealt with such problems until now. So, I'm not sure whether or not it would be helpful to attach both the standard verbose timing report and the one including unconstrained paths...but perhaps someone could point me to something that might seem fishy..?
One thing I will do is continue to try and isolate the location of the problem using timing simulations or possibly stripping the logic of certain components and checking the behavior of the implemented design.
Best regards,
Shibby -
Problems with IO timing constraints
I am developing a system for Virtex-6 FPGA under ISE environment.
Now I have several I/O pins that need to be constrained in timing.
I have an overall system clock called sys_clk in 100MHz, and a logic-generated clock called sr_clk in 125MHz.
I need to make offset constraints referring to sr_clk. However, it seems that the constraint editor will only recognize sys_clk. Any constraints with sr_clk reference are invalid.
How could I solve such problem?Thanks
I have tried the following statements in my ucf file:
NET "sys_clk_n" LOC = T7 | TNM_NET = "SYSCLK";
NET "sys_clk_p" LOC = T8 | TNM_NET = "SYSCLK";
TIMESPEC TS_SYSCLK = PERIOD "SYSCLK" 100 MHz HIGH 50 % PRIORITY 100 ;
NET "sr1_clk_out" LOC = AR20 | TNM_NET = SRAM_CLK;
NET "sr2_clk_out" LOC = AW16 | TNM_NET = SRAM_CLK;
TIMESPEC TS_SRAM_CLK = PERIOD "SRAM_CLK" 125MHz HIGH 50% PRIORITY 100 ;
TIMEGRP "SRAM_DATAADDR" OFFSET = IN 2 ns AFTER "sr1_clk_out" HIGH;
TIMEGRP "SRAM_DATAADDR" OFFSET = OUT 2 ns BEFORE "sr1_clk_out" HIGH;
The final timing report after P&R shows that there are 0 paths analysed for last 3 constraints during the process. -
Several paths timing failed after implementation in vivado 2014.3
Hi all,
Recently i have some paths timing failed in my design,and i try to find some methods to solve this problem,but i still cant understand how the timing constrains work.Any body can give me some advices,i'll be very grateful!
Thanks!Hi,
I see that (in the failing path of the timing report), you have 6 logic levels. Try breaking that into less number of logic levels.
Refer to the following guide for timing closure guidelines.
http://www.xilinx.com/support/documentation/sw_manuals/xilinx2015_2/ug906-vivado-design-analysis.pdf
Refer to page 117 for guidelines on reducing the logic levels. -
Why can the FPGA still work well even if there are a few violation inside timing report?
Timing checks are done across all combinations of process, voltage and temperature (PVT). Each device will have different real timing characteristics based on the individual device (there is variation from device to device), the voltage of your board and the temperature the device is at.
The goal of static timing analysis is to verify that a design will work across all devices at all legal voltages and all legal temperatures. This will only be the case (assuming your constraints are correct) when the design passes static timing analysis. However, a small timing failure in static timing analysis will only show up as a system failure if you get close to the worst combination of process, temperature and voltage. On any one board at lab temperatures, small timing violations are not likely to matter.
However, that has to remain in context - if you are planning to build a fair number of systems and use them under real world conditions, then you must get timing closure (static timing analysis passing with no violations) to ensure a reliable system.
Avrum -
Hii All-
I want to know all the tcodes in HR?? I am an ABAP HR guy in initial stages..
I need urgently abt one tcode which is used to look OM infotypes. PA30 is for PA infotypes, likewise what tocde can be used to see infotypes 1000 to 1999?? pls send me imm.
TxHi Shakir,
Here are some Tcodes related to HR :-
SAP HR Transaction Codes
Code Description
P1B1 Transfer hiring data for applicant
P1B2 Transfer hiring data for applicant
P1B3 Transfer hiring data for applicant
P1B4 Transfer table T588Z, infotype 4000
P1B5 Transfer opt. archive for applicant
P1B6 Transfer hiring data for applicant
P1B7 Conversion T750B
P1OA Transfer Settings for Opt.Archiving
P201 Transfer T514D/V from Client 000
P2W1 Transfer Incentive Wage Accounting
P2W2 Copy Incentive Wage Forms
P4SW Release notes BWP
P5P1 Addition of IT0122 to T588B
P5P2 Delete entries in T588B
PA00 Initial PA Master Data Menu
PA03 Maintain Personnel Control Record
PA04 Maintain HR Number Ranges
PA05 Number Range Maintenance: RP_COIFT
PA06 Number Range Maintenance: PD_SEQ_NR
PA07 Maintain Number Range: RP_GARNEM
PA08 Maintain Number Range: RP_GARNSUB
PA09
PA10 Personnel File
PA20 Display HR Master Data
PA30 Maintain HR Master Data
PA40 Personnel Actions
PA41 Correct Actions
PA42 Fast Entry for Actions
PA46 Import from Resumix
PA47 Export to Resumix
PA48 Hiring from non-SAP system
PA51 Display Time Data
PA53 Display Time Data
PA61 Maintain Time Data
PA62 List Entry of Additional Data
PA63 Maintain Time Data
PA64 Calendar Entry
PA70 Fast Entry
PA71 Fast Entry of Time Data
PA88 Benefits
PA97 Matrix Maintenance
PA98 Compensation Administration
PA99 Compensation Admin. - Release Report
PAAH Call Ad-Hoc Query
PACA HR-CH: PF administration
PACB HR-CH: PF account maintenance
PACC HR-CH: PF calculator
PACE HR-CH: Pension fund : Postings
PACK HR-CH: Pension fund
PACN Number range maint: HRCHPKONTO
PACP HR-CH: Pension fund, interface
PACT PC parameter maintenance
PAJP Call reporting tree - Japan
PAL1 Create Sales Representative
PAL2 Display Sales Representative
PAL3 Maintain Sales Representative
PAL4 Create Buyer
PAL5 Maintain Buyer
PAL6 Display Buyer
PAR1 Flexible employee data
PAR2 Employee list
PAT1 Personnel Administration infosystem
PAW1 Who is who
PB00 Recruitment
PB04 Number Range Maintenance: RP_PAPL
PB10 Init.entry of applicant master data
PB20 Display applicant master data
PB30 Maintain applicant master data
PB40 Applicant actions
PB50 Display Applicant Activities
PB60 Maintain Applicant Activities
PB80 Evaluate vacancies
PBA0 Evaluate advertisements
PBA1 Applicant index
PBA2 List of applications
PBA3 Applicant vacancy assignment list
PBA4 Receipt of application
PBA5 Recurring tasks: Print letters
PBA6 Recurring tasks: Print letters
PBA7 Recurring tasks: Data transfer
PBA8 Recurring tasks: Transfer data
PBA9 List of planned actions
PBAA Evaluate recruitment instrument
PBAB Maintain vacancy assignments
PBAC Applicant statistics
PBAD Recurring tasks: Print letters
PBAE Applicant pool
PBAF Vacancy assignment list
PBAG Screening
PBAH Decision
PBAI All applicants via qualifications
PBAJ Recruitment info system
PBAK Recurring Tasks: Print Labels
PBAL Bulk processing
PBAM Variable Applicant List
PBAN Ad Hoc Query
PBAO ABAP Query
PBAP Internal Applicants Via Quals
PBAQ External Applicants Via Quals
PBAT Choose SAPscript or WinWord
PBAU Maintain T750C
PBAV Display T750C
PBAW Maintain T750B
PBAX Display T750B
PBAY Maintain T750X
PBAZ Display T750X
PBCX Cust. Account Assign. Reference (MM)
PBCY Cust. Account Assign. Reference (FX)
PBCZ Cust. Account Assign. Reference (DE)
PBW1 Career Center
PBW2 Career Center: Status tracking
PBWW Customizing standard text in WinWord
PC00 Menu for HR Payroll
PC01 Payroll Menu: Germany
PC02 Payroll Menu: Switzerland
PC03 Payroll Menu: Austria
PC04 Payroll Menu: Spain
PC05 Payroll Menu: Netherlands
PC06 Payroll Menu: France
PC07 Payroll menu Canada
PC08 Payroll Menu: Great Britain
PC09 Payroll Menu: Denmark
PC10 Payroll Menu: USA
PC12 Payroll Menu: Belgium
PC14 Payroll Menu: USA
PC16 Payroll Menu: South Africa
PC1A HR Menu: Payroll for Austria
PC1B Payroll Menu: Belgium
PC1C Menu for HR Payroll Switzerland
PC1D HR Menu: Payroll for Germany
PC1E HR Menu: Payroll for Spain
PC1F HR Menu: Payroll for France
PC1G HR Menu: Payroll for Great Britain
PC1J HR menu : Payroll for Japan
PC1K HR Payroll Menu for Canada
PC1L
PC1M HR payroll menu Denmark
PC1N HR NL: Payroll Menu
PC1Q HR Payroll Menu - Australia
PC1R HR-SG: Payroll Menu per periods
PC1S Menu for HR Payroll Sweden
PC1T HR Payroll Menu for Czech Republic
PC1U HR Payroll Menu for USA
PC1W Payroll Menu: South Africa
PC1X Payroll Menu: International Version
PC22 Payroll Menu: Japan
PC23 Payroll Menu: Sweden
PC25 Payroll Menu: Singapore
PC2A New Payroll Menu
PC2B Payroll Menu Yearly
PC2C Menu for HR Payroll Annual Switz.
PC2D New Payroll Menu
PC2E New Payroll Menu
PC2F Annual Payroll Menu (F)
PC2G HR Menu: Payroll for Great Britain
PC2J HR menu : Payroll for Japan
PC2K New Payroll Menu (Canada)
PC2L
PC2M HR-DK: Annual payroll activities
PC2N HR NL: New Payroll Menu
PC2R HR-SG: Payroll Menu: Annual
PC2S Menu for HR Payroll Annual Sweden
PC2T HR Payroll Menu for Czech Republic
PC2U New Payroll Menu
PC2W New Payroll Menu
PC32 Payroll Menu: Mexico
PC34 Payroll Menu for Indonesia
PC3A Payroll Menu: Other Periods
PC3B Other periods
PC3C Menu for RP Payroll Other per Switz.
PC3D Payroll Menu: Other Periods
PC3E Payroll Menu: Other Periods
PC3F Payroll Menu (F): Other periods
PC3G HR Menu: Payroll for Great Britain
PC3J HR menu : Payroll for Japan
PC3K Payroll Menu: Other Periods (CA)
PC3L
PC3M Payroll Menu: Other Periods
PC3N Payroll Menu: Other Periods (NL)
PC3Q Pay Scale Reclassification Australia
PC3R Payroll Menu: Other Periods
PC3S Menu for RP Payroll Other per Sweden
PC3T HR Payroll Menu for Czech Republic
PC3U Payroll Menu: Other Periods
PC3W Payroll Menu: Other Periods
PC4A New Payroll Menu
PC4B Independent Period
PC4C Menu for RP Payroll Other Switz.
PC4D New Payroll Menu
PC4E New Payroll Menu
PC4F Payroll Menu (F): Period-unrelated
PC4G HR Menu: Payroll for Great Britain
PC4J HR menu : Payroll for Japan
PC4K New Payroll Menu (Canada)
PC4L
PC4N HR NL: New Payroll Menu
PC4Q Pay Scale Increase Australia
PC4R Payroll menue: Period-Independent
PC4S Menu for RP Payroll Other Sweden
PC4T HR Payroll Menu for Czech Republic
PC4U New Payroll Menu
PC4W New Payroll Menu
PC5J HR payroll menu (Japan) - SYOYO
PC5Q Pay Scale Inc. Extended Australia
PC6J HR payroll menu (Japan) year-end adj
PC7J HR payroll menu (Japan) - retirement
PC8J HR payroll menu Social Insurance JP
PC99 International Payroll Menu
PCC0 Maintnce fam.rltd.bonuses Switz.
PCP0 Post accounting data
PDA1 List of part-time work for pensioner
PDA2 Construct transaction data list
PDB1 Release Info 2.1A - Statements
PDB2 Releaseinfo 2.2A Statements
PDF0 Convert form for remun.statement
PDF2 Form table CY 94/95
PDF7 Delete form in customer client
PDF8 Copy form from SAP client
PDF9 Copy forms within customer client
PDI1 Copy Wage Types from Standard Client
PDJ1 HR Change of Year 93/94 (D)
PDJ2 HR Fiscal year change 94/95 D Suppl.
PDJ3 Bill of materials 3.0C
PDJ6 Evaluation/statistic object list
PDJ7 AV96/97 Continued Pay
PDJ8 Part-time work by pensioners
PDK1 Copy W.Types from Standard Clients
PDLK HR D(G4) Form Tables f. PayrollAcct
PDP0 Table entries for loans
PDS1 Health insurance funds
PDSD Object list DynMaßn tax D
PDVA Copy VAG Wage Types for IT 0093
PE00 Starts Transactions PE01,PE02,PE03
PE01 HR: Maintain Payroll Schemas
PE02 HR: Maintain Calculation Rules
PE03 HR: Features
PE04 Creating Functions and Operations
PE51 HR form editor
PEPM Profile Matchup
PEPP Profiles
PF01 Test transact.
PF02 Cust. test of value-based IM
PF05 Number Range Maintenance: HRSOBJECT
PFAC Maintain standard role
PFAL HR ALE: Distr. infotypes completely
PFCG Activity Group Maintenance
PFCP Copy Workflow Tasks
PFCT Task Catalog
PFCU Task Customizing
PFOM Maintain Assignment to SAP Org.Objs
PFOS Display Assignment to SAP Org.Objs
PFSE Start PFS from R/3 System
PFSO User's Organizational Environment
PFT Maintain Customer Task
PFTC General Task Maintenance
PFTR Standard Task for Transaction
PFTS Standard Task
PFUD User Master Data Reconciliation
PFWF Maintain Workflow Task (customer)
PFWS Maintain workflow template
PGOM Graphical Structure Maintenance
PI30 PP-PI Options for Release 3.0
PI50 Transfer selected R/2 orders
PI51 Transfer current R/2 orders
PI60 Transfer confirmations to R/2
PID1 HR-ID: Payroll Menu per periods
PID2 HR-ID: Payroll Menu: Annual
PID3 Payroll Menu: Other Periods
PID4 Payroll menue: Period-Independent
PIMN Human resources information system
PK00 Kanban
PK01 Create control cycle
PK02 Change control cycle
PK03 Display control cycle
PK99 Function Access via Transaction
PKBC Chnge Container Status with Bar Code
PKC1 Process cost controlling
PKG1 Copy entries for garnishment CA
PKW1 Kanban board WWW
PM00 Menu for HR Reports
PM01 Enhance Infotypes
PM03 Maintain Number Range Features
PM10 Statements Selection
PM11 Statements - Single Data Entry
PM12 Statements - Fast Data Entry
PM13 Statements - Print
PMAR Change plan for appropriation req.
PMX1
PMX2
PMX3
PMX4
PO01 Maintain Work Center
PO02 Maintain Training Program
PO03 Maintain Job
PO04 Maintain Business Event Type
PO05 Maintain Business Event
PO06 Maintain Location
PO07 Maintain Resource
PO08 Maintain External Person
PO09 Maintain Business Event Group
PO10 Maintain Organizational Unit
PO11 Maintain Qualification
PO12 Maintain Resource Type
PO13 Maintain Position
PO14 Maintain Task
PO15 Maintain Company
PO16 Maintain Services
PO17 Maintain Requirements Profile
PO18 Maintain Resource Room
PO19 Maintain External Instructor
POI1 Start Download of Master Data
POIL View Received Data Log
POIM Start Download of Master Data
POIT Start Download of Transaction Data
POIU Start Receiving Changes to Data
POTB Parameters for OTB
PP01 Maintain Plan Data (menu-guided)
PP02 Maintain Plan Data (Open)
PP03 Maintain Plan Data (Action-Guided)
PP05 Number Ranges
PP06 Number Range Maintenance: HRADATA
PP07 Tasks/Descriptions
PP20 Career and Succession Planning
PP23 PD Cost Planning: Reset Password
PP26 Plan Scenario Administration
PP27 Release of plan scenarios for CO
PP28 PersCostPl: New Scenario
PP29 PersCostPl: Resumption
PP2B PD CostPl.: Plan Basic Pay Direct
PP2D PD CostPl1: Delete Payroll Results
PP2P PD CPl: Plan Payroll Results Direct
PP30 SAP Room Reservations Planning
PP31 SAP Room Reservations Planning: Data
PP32 SAP Room Reservations: Services
PP40 Correspondence
PP61 Shift Planning
PP62 Shift Planning: Requirements Menu
PP63 Requirements Processing
PP64 Choose Plan Version
PP65 Edit an Entry Object
PP66 Shift Planning: Entry Profile
PP68 Shift Planning: Current Settings
PP69 Choose Text for Organizational Unit
PP6A Personal Shift Plan
PP6B Attendance List
PP70 Organizational Management
PP72 Shift Planning
PP74 Personnel Cost Planning
PP75 Assessment
PP7S Organizational Management
PP90 Set Up Organization
PPCI Copy Infotype
PPCO Initial Screen: Organizational Plan
PPCP Career Planning
PPCT Task Catalog
PPEM PD: Display Organizational Structure
PPI0 Transfer of Table Entries
PPIS Human Resources Information System
PPLB Evaluate Careers
PPME Change Matrix Organization
PPMM Personnel Planning
PPMS Display Matrix Organization
PPO1 Change Cost Center Assignment
PPO2 Display Cost Center Assignment
PPO3 Change Reporting Structure
PPO4 Display Reporting Structure
PPO5 Change Object Indicators (O/S)
PPO6 Change Object Indicators O/S
PPOA Display Menu Interface (with dyn.)
PPOC Create Organizational Unit
PPOM Maintain Organizational Plan
PPOS Display Organizational Plan
PPPD Display Profile
PPPE Area Menu: Personnel Development
PPPM Change Profile
PPQ1 Find Objects for Qualifications
PPQ2 Find Objects for Requirements
PPQD Display Qualifications Catalog
PPRL Change Material When Profile Deleted
PPRP Reporting: Personnel Development
PPRV Change Material When Profile Changed
PPSC Create Structure
PPSM Change Structure
PPSP Succession Planning
PPSS Display Structure
PPST Structure Evaluation
PPUP Settings: User Parameters
PQ01 Actions for Work Center
PQ02 Actions for Training Program
PQ03 Actions for Job
PQ04 Actions for Business Event Type
PQ06 Location Actions
PQ07 Resource Actions
PQ08 Actions for External Person
PQ09 Actions for Business Event Group
PQ10 Actions for Organizational Unit
PQ12 Actions for Resource Type
PQ13 Actions for Position
PQ14 Actions for Task
PQ15 Actions for Company
PQ17 Actions for Requirement Profiles
PQ18 Actions for Resource Room
PQ19 Actions for External Instructor
PQAH Transaction for Ad Hoc Query
PQLV Australian Leave Processing
PQRD Redundancies Australia
PQTM Terminations Australia
PR00 Trip Costs
PR01 Maintain International Travel Data
PR02 Fast Entry: Inter.Trip Costs Data
PR03 Edit Advances
PR04 Edit Weekly Reports
PR05 Receipt Entry
PR10 Number Range Maint.: RP_REINR
PR11
PR12 Number Range Maint. for Posting Runs
PR71 Customizing Coding Block 1701
PR72 Customizing Coding Block 1702
PR73 Customizing Coding Block 1703
PR90 Initial Screen: Public Sector
PR91 Display: Trips with Periods
PR92 Display: Trips with Periods
PR93 Change: Trips with Periods
PRAA Automatic Vendor Maintenance
PRAP Approval of Trips
PRC2 Customizing Coding Block 1200
PRC7 Customizing Coding Block 1700
PRCC Credit Card Clearing
PRCD Delete/Copy Trip Countries
PRCT Current Settings
PRCU Check Printing USA
PRD1 Create DME
PRDE Delete/Restore Trip Prov.Variant
PRDH Employees with Exceeded Trip Days
PRDX Call Country Version DME Pre.Program
PREC Trip Costs Accounting Program
PRF0 Standard Form
PRF1 Summarized Form 1
PRF2 Summarized Form 2
PRFI Posting to Financial Accounting
PRFW Income-rel.Expenses Statement
PRHD Maximum Value Delimitation for Meals
PRHH Scale Maximum Amounts for Meals
PRHP Scale Per Diems for Meals
PRIN Index for Personnel Number in Vendor
PRMC Trip Costs: Feature TRVCT
PRMD Maintain HR Master Data
PRMF Trip Costs: Feature TRVFD
PRML Set Country Grouping via Dialog Box
PRMM Personnel Actions
PRMS Display HR Master Data
PRMT Update Matchcode T
PROF Profit Center Accounting
PRPD Delimitation of Per Diems for Meals
PRPY Transfer to Payroll Accounting
PRRW Post Accounting Data
PRST Period Statistics
PRVT VAT Recovery
PS00 Basic data
PS01 Project Information System
PS02 Operative Structures
PS03 Project Planning
PS04 Project Approval
PS05 Project Execution
PS06 Project Cost Controlling
PS81 Call Up Report Tree PS81 (Ind.Overv)
PS90 Call Up Report Tree PS90 (Overview)
PS91 Call Up Report Tree PS91 (Costs)
PS92 Call Up Report Tree PS92 (Revenues)
PS93 Call Up Report Tree PS93 (Finances)
PS94 Call Up Report Tree PS94 (Line Itms)
PS95 Call Up Report Tree PS95 (Sum.over.)
PS96 Call Up Report Tree PS96 (Sum.costs)
PS97 Call Up Report Tree PS97 (Sum.rev.s)
PS98 Call Up Report Tree PS98 (Sum.fin.)
PSC PS Basic data: current settings
PSC0 Set Plan Version Valid for Cost Plan
PSC2 PS Op.structures: current settings
PSC3 PS planning: Current settings
PSC5 PS Implementation: Update Settings
PSCP Set plan version
PSIC Curr.settings HR information system
PSJ1 Hokensya Santei Adjustment
PSO0 Set Plan Version for OrgManagement
PSO1 Set Aspect for OrgManagement
PSO2 PS System/Database Tools
PSO3 Infotype overview
PSO4 Individual Infotype Maintenance
PSO5 PD: Administration Tools
PSOA Work Center Reporting
PSOC Job Reporting
PSOG OrgManagement General Reporting
PSOI Tools Integration PA-PD
PSOO Organizational Unit Reporting
PSOS Position Reporting
PSOT Task Reporting
PSSD Check BNL flow types
PSV0 Change / Display Resources
PSV1 Dynamic Attendance Menu
PSV2 Dynamic Business Event Menu
PSV3 Dynamic Information Menu
PSV4 Set Plan Version
PSV5 Info: Attendances
PSV6 Reporting: Business Events
PSV7 Reporting: Resources
PSV8 Create Attendee
PSV9 Change / Display Attendee
PSVA Set Aspect
PSVC Training and Events:Current Settings
PSVL Set Business Event Language
PSVO Change / Display Organizer
PSVP Dynamic Planning Menu
PSVR Dynamic Resource Menu
PSVT Dynamic Tool Menu
PT00 Time Management
PT01 Create Work Schedule
PT02 Change Work Schedule
PT03 Display Work Schedule
PT10
PT11 Number Range Maintenance: PTM_QUONR
PT12 Number Range Maintenance: HRAA_PDOC
PT40 PDC Error Transaction
PT41 Communication Parameters
PT42 Supply Personnel Data
PT43 Supply Master Data
PT44 Upload Request
PT45 Post Person Time Events
PT46 Post Working Time Events
PT50 Leave Accrual
PT60 Time Evaluation
PT61 Time Statement
PT62 Attendance List
PT63 Personal Work Schedule
PT64 Absence List
PT65 Graphical Abs./Attendance Overview
PT66 Display Cluster B2
PT67 Third-Party Payroll Accounting
PT68 Activity Allocation
PT70 Time Management Info System
PT71 Tool Selection for Time Management
PT82 CC1: Download HR Mini Master Records
PT83 CC1: Download Employee Time Balances
PT84 Allowed Absence/Attendance Reasons
PT85 Allowed External Wage Types
PT86 Allowed Time Event Types
PT87 Allowed Positions
PTE1 Generate Batch Input Session
PTE2 Process Batch Input Session
PTE3 Reorganize Interface File
PU00 Delete Personnel Data
PU01 Delete current payroll result
PU03 Change Payroll Status
PU11 Supplementary CS Benefits (D)
PU12 Connection to Third-Party Payroll
PU13 Correction Checks
PU14 On Demand Check for Regular Pay
PU15 On Demand Checks (Bonus)
PU20 Preperation for issuing of tax forms
PU21 Issuing of tax forms
PU22 HR Archiving
PU23 SARA parameters set for PA_CALC
PU24 SARA parameters set for PA_TIME
PU25 SARA parameters set for PA-TRAVEL
PU30 Wage Type Maintenance
PU90 Delete applicant data
PU95 HR: Maintain Log. Views & WT Groups
PU96 HR: Maintain Wage Type Groups
PU97 HR: Logical View Maintenance
PU98 Assign Wage Types to Groups
PUC0 HR-CH: Maintain MA attributes
PUCA HR-CH: PC admin. for PF
PUCE HR-CH: PC editor for PF
PUCF HR-CH: PC maintenance form PF
PUCG HR-CH: Funds-total copier
PUCK HR-CH: Entity copier for funds
PUCP HR-CH: PC parameter maint. for PF
PUCV HR-CH: Entity copier for PC obj.
PUCW HR-CH: Maint. of HSC outputs for PF
PUG1 HR-GB: On-demand payroll
PULT Transport HR Tables for Logistics
PUU1 BSI Test Tool
PUUG Change remittance due date
PV00 Book Attendance
PV01 Rebook Attendance
PV02 Prebook Attendance
PV03 Replace Attendance
PV04 Cancel Attendance
PV05 Book List: Attendees/Business Events
PV06 Prebook List: Attendees
PV07 Book List: Attendees
PV08 Book List: Business Events
PV09 Plan Business Events
PV0I Display Business Event Offer
PV10 Create Business Event with Resources
PV11 Create Business Event w/o Resources
PV12 Firmly Book / Cancel Business Event
PV14 Lock / Unlock Business Event
PV15 Follow Up Business Event
PV16 Prebooking List per Attendee
PV17 Billing
PV18 Cost Allocation
PV1A Change Business Event
PV1B Display Business Event
PV1C Cost Transfer
PV1D Price Proposal
PV1I Attendee Bookings (R/3 Users)
PV26 Prebook List: Attendees/Event Types
PV2I Attendee Bookings (Web Users)
PV32 Appraisals
PV33 Business Event Appraisal
PV34 Attendee Appraisal
PV3I Display Business Event Offer
PV4I Attendee Bookings (Web Users)
PV5I Attendee Bookings (R/3 Users)
PV6I Attendee Bookings (Web Users)
PVB0 Business Event Budget
PVB1 Create Business Event Budget
PVB2 Display Business Event Budget
PVB3 Change Business Event Budget
PVBA Training & Events: Budget Comparison
PVBB Create/Change Training Program
PVCT Master Data Catalog
PVD0 Create/Change Business Event Type
PVF0 Create/Change Location
PVF1 Maintain Location
PVG0 Create/Change Resource
PVG1 Create/Change Room
PVG2 Lock/Unlock Resource
PVG3 Maintain Room
PVH0 Create/Change External Instructor
PVH1 Create/Change Instructor
PVH2 Maintain External Person
PVL0 Create/Change Business Event Group
PVMN Training & Event Management
PVR0 Create/Change Resource Type
PVR1 Maintain Room Equipment
PVU0 Create/Change Company
PVU1 Maintain Company
PVV0 Create/Change Service
PW00 Incentive Wages
PW01 Maintain Incentive Wages Data
PW02 Display Incentive Wages Data
PW03 Enter Incentive Wages Data
PW41 Generate Batch Input Session
PW42 Process Batch Input Session
PW43 Reorganize Interface File
PW61 Time Leveling
PW62 Employment Percentage
PW63 Reassignment of Pay Scale Group
PW70 Recalculate Indiv. Incentive Wages
PW71 Recalculate Group Incentive Wages
PW80 Incentive Wages: Current Settings
PW91 Incentive Wages: Control Parameters
PW92 Incentive Wages: User Exits
PW93 Incentive Wages: Group Parameters
PW94 Inc. Wages: Logistics Parameters
PW95 Incentive Wages: PDC Parameters
PX01 Planning area, external plan. tool
PX02 Planning tool, physical system
PX03 Planning Tool
PX04 Ext.Planning Tool: StartParam. WinNT
PY00 Maintenance T77PR for Rel.Notes 20.A
PY01 Adopt T77R* from release note 20.A
PY02 Adopt T77KL from release notes
PYG1 HR-GB: Config. end of year filepaths
PYG2 HR-GB: Generate EOY cluster
Kindly reward in case useful.
Regards,
Darshan Mulmule -
Par failing on EDK 11.4
Dear forum members, I've designed a system on custom board using the spartan-6 lx45t device on EDK 11.4 using the base system builder. Following is the device utilization summary after map:
Number of LOCed IOBs 97 out of 97 100%
Number of SLICEXs 4521 out of 6822 66%
Number of Slice Registers 15138 out of 54576 27%
Number used as Flip Flops 15136
Number of Slice LUTS 25539 out of 27288 93%
Number of Slice LUT-Flip Flop pairs 25636 out of 27288 93% However, after PAR the following error is thrown and bit file is not generated.***************************************************************************************************************************************************************
ERROR:Xflow - Program par returned error code 30. Aborting flow execution...
make:
*** [__xps/system_routed] Error 1 I also get the following warnings during PAR. *************************************************************************************************************************************************************** WARNING:Par:288 - The signal mb_plb/mb_plb/GEN_SHARED.I_PLB_ARBITER_LOGIC/I_ARB_ENCODER/prioencdrOutput_pri<1> has no load. PAR will not
attempt to route this signal.
Starting Router
Wirelength Stats for nets on all pins. NumPins: 130211
Phase 1 : 136053 unrouted; REAL time: 2 mins 3 secs
Phase 2 : 125020 unrouted; REAL time: 2 mins 21 secs
Phase 3 : 86813 unrouted; REAL time: 7 mins 13 secs
Phase 4 : 98510 unrouted; (Setup:5539693, Hold:6858, Component Switching Limit:0) REAL time: 19 mins 11 secs ***************************************************************************************************************************************************************
WARNING:Route:441 - The router has detected a very high timing score (5469167) for this design. It is extremely unlikely the router will be
able to meet your timing requirements. To prevent excessive run time the router will change strategy. The router will now work to
completely route this design but not to improve timing. This behavior will allow you to use the Static Timing Report and FPGA Editor to
isolate the paths with timing problems. The cause of this behavior is either overly difficult constraints, or issues with the
implementation or synthesis of logic in the critical timing path. If you would prefer the router continue trying to meet timing and you
are willing to accept a long run time set the option "-xe c" to override the present behavior.
Intermediate status: 43159 unrouted; REAL time: 49 mins 19 secs
Intermediate status: 29897 unrouted; REAL time: 1 hrs 19 mins 31 secs
Updating file: system.ncd with current partially routed design.***************************************************************************************************************************************************************
WARNING:Route:543 - Because this design is experiencing congestion, we recommend you run SmartXplorer with the "Use built-in SmartXplorer
strategies for Congestion Reduction" radio button enabled in Project Navigator. For command line users, please run SmartXplorer with the
-cr switch. This will run algorithms designed to avoid logic congestion. For more information on how to run SmartXplorer, please see the
ISE Help (Project Navigator Users) or the Command Line Tools Users Guide (Command Line Users).
Phase 5 : 27042 unrouted; (Setup:21963466, Hold:6858, Component Switching Limit:0) REAL time: 1 hrs 30 mins 19 secs
Total REAL time to Router completion: 1 hrs 30 mins 19 secs
Total CPU time to Router completion: 1 hrs 29 mins 47 secs
*************************************************************************************************************************************************************** WARNING:Par:468 - Your design did not meet timing. The following are some suggestions to assist you to meet timing in your design.
Review the timing report using Timing Analyzer (In ISE select "Post-Place &
Route Static Timing Report"). Go to the failing constraint(s) and evaluate the failing paths for each constraint.
Try the Design Goal and Strategies for Timing Performance(In ISE select Project -> Design Goals & Strategies) to ensure the best options
are set in the tools for timing closure.
Use the Xilinx "SmartXplorer" script to try special combinations of
options known to produce very good results.
Visit the Xilinx technical support web at http://support.xilinx.com and go to
either "Troubleshoot->Tech Tips->Timing & Constraints" or "
TechXclusives->Timing Closure" for tips and suggestions for meeting timing
in your design.
Number of Timing Constraints that were not applied: 8***************************************************************************************************************************************************************
WARNING:Par:100 - Design is not completely routed.
WARNING:Par:283 - There are 1 loadless signals in this design. This design will cause Bitgen to issue DRC warnings.***************************************************************************************************************************************************************
Total REAL time to PAR completion: 1 hrs 31 mins 3 secs
Total CPU time to PAR completion: 1 hrs 30 mins 24 secs
Peak Memory Usage: 553 MB
Placer: Placement generated during map.
Routing: Completed - errors found.
Timing: Completed - 5587 errors found.
Number of error messages: 0
Number of warning messages: 8
Number of info messages: 1
Writing design to file system.ncd
PAR done!
Few days back the same warnings were thrown, but the bit file got generated, and system behaved as expected. But, since then the RTL of customIP core has changed, but by a very small amount. Please let me know, if someone faced the same problem and is there any workaround for it. Thanks in advance,Sumanth.I am using the same part and get the same error. I do not believe my design is unbalanced or too highly mapped. It seems very possible that this may be a Spartan-6 LX45 issue, especially considering there are no other forum post about this and any other parts. The fact that both of us have the same problem with the same part and nobody else seems to is suspicious. Device Utilization Summary:
Number of BSCANs 2 out of 4 50%
Number of BUFGs 10 out of 16 62%
Number of BUFIO2s 3 out of 32 9%
Number of BUFIO2FBs 2 out of 32 6%
Number of BUFPLLs 2 out of 8 25%
Number of BUFPLL_MCBs 1 out of 4 25%
Number of DCMs 1 out of 8 12%
Number of DSP48A1s 18 out of 58 31%
Number of ILOGIC2s 5 out of 376 1%
Number of External IOBs 78 out of 218 35%
Number of LOCed IOBs 78 out of 78 100%
Number of External IOBMs 11 out of 109 10%
Number of LOCed IOBMs 11 out of 11 100%
Number of External IOBSs 11 out of 109 10%
Number of LOCed IOBSs 11 out of 11 100%
Number of IODELAY2s 8 out of 376 2%
Number of IODRP2_MCBs 22 out of 376 5%
Number of ISERDES2s 8 out of 376 2%
Number of MCBs 1 out of 2 50%
Number of OLOGIC2s 12 out of 376 3%
Number of OSERDES2s 50 out of 376 13%
Number of PLL_ADVs 3 out of 4 75%
Number of RAMB16BWERs 52 out of 116 44%
Number of RAMB8BWERs 5 out of 232 2%
Number of SLICEXs 4064 out of 6822 59%
Number of LOCed SLICEXs 1 out of 4064 1%
Number of Slice Registers 11875 out of 54576 21%
Number used as Flip Flops 11863
Number used as Latches 5
Number used as LatchThrus 7
Number of Slice LUTS 14334 out of 27288 52%
Number of Slice LUT-Flip Flop pairs 14792 out of 27288 54%
Overall effort level (-ol): High
Router effort level (-rl): High ... ERROR:Xflow - Program par returned error code 30. Aborting flow execution...
make: *** [__xps/system_routed] Error 1 Message Edited by thirdeye on 03-24-2010 09:28 AM -
New project ideas on OpenSparc T1
Hi everyone,
Im a new user of OpenSparc. I have also booted up opensolaris on my FPGA. I have a ML505 board to work with.
Can anyone give me any ideas about any small project which i could do within one month as I am ruuning short of time already. But at the same time I want to something good with it. Like exploring anything related to high performance processing.
so i require suggestions
Waiting
RabiaHi Jin,
This will probably be a very complicated debugging problem. Here goes!
1. First, examine all the synthesis logs created by XST for any kind of warning or error. Then, examine the EDK place and route logs, and especially the timing reports for any timing violation, or other kind of error.
2. Next, I suggest running a stand-alone tests on the processor. The procedure to do this is found in the OpenSPARC T1 Design and Verification User's Guide. Start by running the core1_mini regression. All tests should pass. If so, then run core1_full. This will take a while (approx 700 tests at 30 seconds per test).
3. If all the above tests pass, then examine the software. There are four different boot PROM images, depending on whether you have a 1-thread or a 4-thread core, and whether you want to boot Solaris, or just run a program on top of Hypervisor. If you are booting a 4-thread core, the proper file should be 1c4t_obp_prom.bin Also, double-check that you are loading the prom.bin and the OpenSolaris image to the correct locations.
Good Luck!
formalGuy -
I am compiling my design for the NI PXIe5641R and saw the following message:
Phase 6: 22211 unrouted; (7335208) REAL time: 4 mins 13 secs
the router will be able to meet your timing requirements. To prevent excessive run time the router will change
strategy. The router will now work to completely route this design but not to improve timing. This behavior will
allow you to use the Static Timing Report and FPGA Editor to isolate the paths with timing problems. The cause of
this behavior is either overly difficult constraints, or issues with the implementation or synthesis of logic in the
critical timing path. If you would prefer the router continue trying to meet timing and you are willing to accept a
long run time set the option "-xe c" to override the present behavior.
My question is where do I go to set the "-xe c" option for the compiler?
Thanks,
-ChuckHi Chuck,
You can access the Xilinx options by right clicking on your FPGA target and selecting properties. I would suggest using the timing performance design strategy.
JaceD
Signal Sources Product Support Engineer
National Instruments -
Obp stops at "Powering on Opensparc T1"
Dear all,
I try to boot 1c4t OpenSolaris from a sparc core synthesized in XST and integrated into the EDK project provided by the Design and Verification kit. However, OBP stuck at "Powering on OpenSparc T1" forever.
To run synthesis, I directly invoke xst (instead of use rxil) in the $DV_ROOT/design/sys/iop/sparc/xst directory:
xst -ifn XC5VLX110.xst
Here are some modifications I made before running xst synthesis:
-bufr is not a valid option for virtex 5 devices, so I removed it.
As I read from Xilinx data sheet, the device on XUPV505 should be packaged by ff1136 instead of ff1153, so I made the change accordingly:
-p xc5vlx110-ff1153-3 to -p xc5vlx110t-ff1136-3
And as suggested by the DV User Guide, FPGA_SYN is defined and FPGA_SYN_1THREAD is removed since I intend to synthesize a sparc core with 4 thread pipeline.
Any problem with the OpenSolaris and OBP image is ruled out, since if the FPGA is configured with the bitstream from the out-of-box SysACE, but the DDR memory is overwritten by downloading images via XMD, OpenSolaris booted normally. Anybody has the same problem? Is there any potential problem with the options in XST synthesis or XPS flow? I am wondering if the problem is only related to XST, and if synthesized with Synplicity, the design can boot Solaris normally.
Any help is appreciated. Thanks!
-Jin
Edited by: jouyang on Jan 27, 2009 2:14 PM
Edited by: jouyang on Jan 27, 2009 2:15 PM
Edited by: jouyang on Jan 27, 2009 5:44 PMHi Jin,
This will probably be a very complicated debugging problem. Here goes!
1. First, examine all the synthesis logs created by XST for any kind of warning or error. Then, examine the EDK place and route logs, and especially the timing reports for any timing violation, or other kind of error.
2. Next, I suggest running a stand-alone tests on the processor. The procedure to do this is found in the OpenSPARC T1 Design and Verification User's Guide. Start by running the core1_mini regression. All tests should pass. If so, then run core1_full. This will take a while (approx 700 tests at 30 seconds per test).
3. If all the above tests pass, then examine the software. There are four different boot PROM images, depending on whether you have a 1-thread or a 4-thread core, and whether you want to boot Solaris, or just run a program on top of Hypervisor. If you are booting a 4-thread core, the proper file should be 1c4t_obp_prom.bin Also, double-check that you are loading the prom.bin and the OpenSolaris image to the correct locations.
Good Luck!
formalGuy
Maybe you are looking for
-
Unable to download iTunes 10.5 successfully
Hi I am trying to download itunes 10.5, when I run the file, I get windows installer, the it goes to iTunes window with the comment, Gathering required information... Status: Performing installation checks Then it hangs and hangs and hangs, nothing
-
Gallery slideshow not showing in Internet Explorer
I am new to Dreamweaver. I created a slideshow in Photoshop's Gallery section and used a div and iframe per tutorial instructions. I use a MacIntosh. It looks great on Firefox and Safari. It is not working in Internet Explorer. Please advise.
-
Teststand Report Format/Stylesheet Help
Hi, I'm having some trouble modifying the horizontal.xsl stylesheet to achieve the format I want, but as with teststand there's always many different ways to achieve the same thing I thought I'd throw it out there incase im missing the obvious. The h
-
We just migrated from Netware to Windows. We created a NEW Windows 2008R2 server and moved the data over. We created all of the security by hand. All of our drive maps are working except one type. Our directory structure is as follows; Server D:
-
How do I set up mail folders in the Inbox for a mail account on the iPhone 3G?