Is it possible to relink whole image file directories in InDesign?

I have templates that come from another office. Their image folders are set up identically to ours, except ours is mapped to a drive in our office, theirs is mapped to a folder first on a drive in their office.
The links show up as missing. Relinking one will find a few, but on a regular basis, I need to be able to point InDesign to our Image folders, which are mapped to one of our drives. There must be a way to accomplish this? I hope?
I am using CS5
ps: I just learned it is a Mac to PC thing - the original files are on a mac so they are not mapped to a drive the way our PC images are.

Hi,
So there is an image folder and subfolders which path are similar in some part and differ in another, right?
1. If you would reorganize it to one folder - relink would complete the job... or
2. If you (your net admin) would reorganize shared folders (sharing this part of path which is different) - links would be similar... or
3. If you would write a short script - all images could have redefined path by click.
hope...

Similar Messages

  • Script to search and relink linked image files that were moved?

    Good afternoon
    My indesign product catalog has links with a lot of different folders around in my hard disk, not a single folder. And to keep my catalog up to date I must package it.
    When I move my linked files in my computer because I change the folder structure or do some cleaning, my indd loses track of its linked files. Currently I need to relink one by one.
    Therefore I would like to find a script which would scan the hard disk with the all broken links in mind to detect their new location and relink. Yes I am a lazy person.
    Thanks for any clue,

    Thanks so much! I will try soonest.
    How does your script react in the unlikely case of duplicates?
    Date: Thu, 18 Jun 2009 12:43:47 -0600
    From: [email protected]
    To: [email protected]
    Subject: Script to search and relink linked image files that were moved?
    At least your honest about your laziness.... Here's your reward:
    Just a hint, don't select root of your volume, it will loop through every folder in existence, but key to this script is, if you know the general location, select into that folder hierarchy and the script will test for a relative path, otherwise, come back in a few days, and it will be finished.
    ~mike
    var processed = 0
    var skipped = 0
    var updated = 0
    if (app.documents.length > 0){
        if(app.activeDocument.links.length > 0){
            var mydoc = app.activeDocument;
            var mylinks = mydoc.links;
            var myRoot = Folder.selectDialog("Choose the volume or server where assets are located", undefined, false);
            main();
    else
    { alert("No Links present")
    else{alert("No Documents Open")
    function main(){
        if(myRoot != null){
            for(var i = 0; i < mylinks.length ; i++){
                if(mylinks.item(i).status == LinkStatus.linkMissing){
                        var linkdata= mylinks.item(i).filePath;
                        var my_result = linkRepair(linkdata);
                            if (my_result == false){
                                var filetype = "." + mylinks.item(i).linkType;
                                var mysearch =   search(linkdata, filetype);
                                    if(mysearch != undefined){
    var myswitch = confirm(mylinks.item(i).name + " has been found in a different location, Relink and Update?", "Relinker")
                                            if(myswitch){
                                                mylinks.item(i).relink(File(mysearch));
                                                mylinks.item(i).update();
                                else{
                                    alert("" + mylinks.item(i).name " was not found\nFolders processed: "processed + "\nFiles skipped: "+ skipped)
                            else{ alert( my_result + " has been found");
                                mylinks.item(i).relink(File(my_result));
    function linkRepair(linkdata){
    var mypath = linkdata.split(":")
            my_status = analyzePath(mypath)
            if(my_status == true){alert("UPDATED");
                    updated++       
        return my_status
    function analyzePath(mypath){
    var num = mypath.length ;
    for(var i = 0; i < num-1; i++){
        mypath.shift()
        var newpath = pathRebuild(mypath)
        newpath = (myRoot + newpath)
            if(File(newpath).exists){
                return newpath
        return false
    function pathRebuild(pathArray){
    var solidPath = ""
        for(var i = 0; i < pathArray.length ; i++){
            solidPath +=  "/" + pathArray+ ;
        return solidPath;
    function search(linkdata, filetype){
        var mypath = linkdata.split(":")
        var mylink = mypath.pop();
        var OK = confirm("Relative Path does not exist, search folder hiearchy for file?", true, "File Scanner")
        if(OK){
    var myscanresult = getfiles(mylink, myRoot)
    return myscanresult
    function getfiles(mylink, myBase){
    myBase = Folder(myBase);
    var files = myBase.getFiles("*")
    for(var i = 0; i < files.length; i++){
    try{
    var foldertest = files+.getFiles();
    processed++
    var myfile =     File(files+ + "/" + mylink)
        if(myfile.exists == true){
            return myfile
            break;
        else{
        var myscan = getfiles(mylink, Folder(files+))    
            if(myscan != undefined){
            return myscan
            break;
    catch(myerror){
        skipped++
    >

  • Smart collection of image files linked to InDesign documents?

    I would like to create a Smart Collection that finds all of the image files linked to InDesign documents in a specific folder.
    I am the yearbook advisor at school and I need to easily find all of the images that have been placed so that we don't reuse images by mistake.
    I have no scripting experience, so I can't create something myself, and I haven't been able to find anything that does such a thing.
    Many yearbook design software programs do offer something like this - indicating that an image has been used previously.
    I guess it would need to look at the metadata of the InDesign Files for the Linked Files and then pit all of the files found there into a Smart Collection.  Ideally, I would be able to further limit it by file type. ANd to not include Master pages if so desired (although that would just be a bonus!)
    I greatly appreciate any assistance anyone can give.
    Thanks!

    I'd be glad to give it a shot, even though I'm a beginner (and I am afraid I am out of my depth), but i'm missing something obvious because I could download and install the script, but if I try to open it to edit it, I just get gobbeldygook.  Any chance you could paste the script here?
    Again, I really appreciate your help.

  • Is it possible to submit an image file with PDF form?

    Hello,
    I know that Acrobat does not offer a field for image file submission.
    whether there are workarounds to solve this problem?
    I have found suggestions regarding place an image to button icon:
       event.target.buttonImportIcon();
    but I can't understand is it possible to submit this image to server (Reader supports PDF only).
    Any advices?
    Yury

    Hi Yury,
    Yes, that's correct you can create a button and add a script to it that would prompt the user to select an image file when that button is clicked. Then that image can be displayed using the same button (buttons can show either an image or text).
    The script in question is:
    event.target.buttonImportIcon();
    You need to add it as an Run a JavaScript-action to your button, triggered by the MouseUp event, and of course set the button's layout.
    Please refer to this link : https://acrobatusers.com/tutorials/how-to-create-a-button-form-field-to-insert-a-pdf-file
    On submitting the image it gets embedded with the PDF.
    If the users are using Acrobat they will be able to view the image in the PDF, however if they are using only Reader, you will have to create the form using LiveCycle & then save it in a Reader Extendable Format.
    Regards,
    Aadesh

  • Is it possible to do an image file restore in a Mac Mini running Windows 7 in Parallels?

    I recently purchased my first Mac, a Mac Mini.  It will have Windows 7 installed with Parallels.  I will probably sell my current Dell laptop Ebay prior to receiving my Mac, and have done a complete data and image file backup to an external drive.  My question is, when I am in Windows 7 on my Mac, will I be able to utilize Windows Restore to load my .iso image from my external drive?   I'd like to have it looking just like what I had on my old Windows machine.  I will have the 1TB fusion drive on my Mac, so space isn't an issue.  My goal is to eventually migrate most of my files and data over to the Mac OS, after which I will only keep a few programs such as Office 2013 in Windows.   Will I have to resize my Windows partition to accomodate this, or will Parallels or Mac OS do this automatically for me?  I'm totally new to the Mac world, so I apologize if these questions have already been answered elsewhere.

    Not all virtualization engines are the same.  I would consult with Parallels if that is going to be the one of choice.

  • Possible to merge two image files, but keep separate channels?

    I have Image A with seven selections saved as channels.  I have Image B with eight selections saved as channels.  I want to put A and B side by side in the same file.  If I expand canvas in Image A and cut & paste B into it, the eight channels for B are lost.  Is there a why to retain both sets of channels with my selections?  I can't quite wrap my mind around how to make this work of even if it can work.  Any suggestions?  Thank you.

    The best workaround I can think of is to make the Background Layer of the files a regular Layer and Group it (command-G), then add as many empty Groups in that Group as Channels are to be transported.
    Afterwards load each Channel as a Selection (command-click the icon in the Channels Panel) and add it as a Mask to one of the empty Groups (click the Layer Mask-icon, third icon from the left at the bottom of the Layers Panel).
    After that the Group containing the Layer and masked Groups can the moved together.

  • Make a image file

    it is possible to make a image file with thee thing i've done in a jPanel (like .gif, .jpeg or others)???
    if not with a JPanel but with other component or the applet enterily, please said it
    thx for help
    scuse with my english

    What are you trying to capture? The whole panel with components or just a Graphics pallete?

  • Sending an Image file via UART

    Hi All,
    1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
    2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
    Meganadhan
     

    thanks ignacio
    i will try it letter
    but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
     and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
    RANDOM BIT
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC);
    end random;
    architecture Behavioral of random is
    signal counter: integer:=0;
    begin
    process (clk)
    begin
    if rising_edge(clk) then
    counter <= counter+1;
    if counter= (g_CLKS_PER_BIT-1) then
    output <= '1';
    elsif counter=55 then
    output <= '0';
    elsif counter=65 then
    output <= '0';
    elsif counter=75 then
    output <= '0';
    elsif counter=85 then
    output <= '1';
    elsif counter=95 then
    output <= '0';
    elsif counter=105 then
    output <= '0';
    elsif counter=115 then
    output <= '0';
    --elsif counter =1 then
    counter <= 0;
    end if;
    end if;
    end process;
    end Behavioral;
    ----------------------------------------------------------------------UART RX
    -- File Downloaded from http://www.nandland.com
    -- This file contains the UART Receiver. This receiver is able to
    -- receive 8 bits of serial data, one start bit, one stop bit,
    -- and no parity bit. When receive is complete o_rx_dv will be
    -- driven high for one clock cycle.
    -- Set Generic g_CLKS_PER_BIT as follows:
    -- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
    -- Example: 10 MHz Clock, 115200 baud UART
    -- (10000000)/(115200) = 87
    library ieee;
    use ieee.std_logic_1164.ALL;
    use ieee.numeric_std.all;
    entity receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end receive;
    architecture rtl of receive is
    type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
    s_RX_STOP_BIT, s_CLEANUP);
    signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
    signal r_RX_DATA_R : std_logic := '0';
    signal r_RX_DATA &colon; std_logic := '0';
    signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
    signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
    signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
    signal r_RX_DV : std_logic := '0';
    begin
    -- Purpose: Double-register the incoming data.
    -- This allows it to be used in the UART RX Clock Domain.
    -- (It removes problems caused by metastabiliy)
    p_SAMPLE : process (i_clk)
    begin
    if rising_edge(i_clk) then
    r_RX_DATA_R <= i_rx_serial;
    r_RX_DATA <= r_RX_DATA_R;
    end if;
    end process p_SAMPLE;
    -- Purpose: Control RX state machine
    p_UART_RX : process (i_clk)
    begin
    if rising_edge(i_clk) then
    case r_SM_MAIN is
    when s_IDLE =>
    r_RX_DV <= '0';
    r_CLK_COUNT <= 0;
    r_BIT_INDEX <= 0;
    if r_RX_DATA = '0' then -- Start bit detected
    r_SM_MAIN <= s_RX_START_BIT;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    -- Check middle of start bit to make sure it's still low
    when s_RX_START_BIT =>
    if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
    if r_RX_DATA = '0' then
    r_CLK_COUNT <= 0; -- reset counter since we found the middle
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    else
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_START_BIT;
    end if;
    -- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
    when s_RX_DATA_BITS =>
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_CLK_COUNT <= 0;
    r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
    -- Check if we have sent out all bits
    if r_BIT_INDEX < 7 then
    r_BIT_INDEX <= r_BIT_INDEX + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_BIT_INDEX <= 0;
    r_SM_MAIN <= s_RX_STOP_BIT;
    end if;
    end if;
    -- Receive Stop bit. Stop bit = 1
    when s_RX_STOP_BIT =>
    -- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_STOP_BIT;
    else
    r_RX_DV <= '1';
    r_CLK_COUNT <= 0;
    r_SM_MAIN <= s_CLEANUP;
    end if;
    -- Stay here 1 clock
    when s_CLEANUP =>
    r_SM_MAIN <= s_IDLE;
    r_RX_DV <= '0';
    when others =>
    r_SM_MAIN <= s_IDLE;
    end case;
    end if;
    end process p_UART_RX;
    o_rx_dv <= r_RX_DV;
    o_rx_byte <= r_RX_BYTE;
    end rtl;
    TOP
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity top is
    port(cl_k: in std_logic
    end top;
    architecture Behavioral of top is
    --component random
    component random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC
    end component;
    --component uart rx
    component receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end component;
    --signal
    signal mlebu:std_logic;
    signal enabl:std_logic;
    signal metu :std_logic_vector (7 downto 0);
    begin
    a:random port map( output=>mlebu,
    clk=>cl_k
    b:receive port map( i_clk=>cl_k,
    i_rx_serial=>mlebu,
    o_rx_dv=>enabl,
    o_rx_byte=>metu
    end Behavioral;
    regards
    halim

  • Reuse image file from another DC

    Hi everyone,
    Is it possible to re-use image file stored in the mimes folder of a component in a DC from another DC ?  If it is possible, how do we access the image?
    Thanks,
    Johannes

    Hi,
    This is part of the code I use here in one Project.
      public java.lang.String getBitmapURLByIconId( java.lang.String iconId, java.util.Map iconMap )
        //@@begin getBitmapURLByIconId()
        String bitmapByIconId = getBitmapByIconId(iconId, iconMap);
        if (null == bitmapByIconId) // Not a Valid Icon..
             return "";
        WDDeployableObjectPart deployableObjectPart = wdComponentAPI.getDeployableObjectPart();
        try {
              IWDWebResource webResource = WDWebResource.getWebResource(deployableObjectPart, WDWebResourceType.PNG, bitmapByIconId);
              return webResource.getAbsoluteURL();
        catch (Exception ex) {
             wdComponentAPI.getMessageManager().reportException("Error Loading Icon '" + bitmapByIconId + "', " + ex.getMessage(), true);
             return "";
        //@@end
    In particular, we drive some configuration for Icons / Tooltips from the back-end. The variable "bitmapByIconId" will be populated with whatever Icon name I want to get. What you should look at is basically the IWDWebResource part, don't bother with the rest of the code..
    This is part of a DC and I expose some methods in its Interface Controller so I can re-use the same pictures everywhere.
    Hope it helps,
    Daniel

  • By using XI shall we send any image files ?

    By using XI shall we send any image files ?

    Hi,
    Its possible to send the image file with XI
    please find here with you the link for step by step procedure
    Sending an Image File Through Exchange Infrastructure in a File-to-Mail Scenario
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/6d967fbc-0a01-0010-4fb4-91c6d38c5816
    Exchange Infrastructure Binary Conversion Simplified: A Step-by-Step Image File to Image File Mapping and Conversion Using Java Mapping
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/10dd67dd-a42b-2a10-2785-91c40ee56c0b
    Thanks
    Swarup

  • Convert image file names to labels and resizing images according to frame. Possible??

    Hi all,
    I have posted this question hastily in the main mac forum but then i realized it is a scripting question so I repost here.
    Q1: I have a client with 700+ images who has named the images with numbers and the caption:
    eg: 1.II.34. Walking down the road towards the building.tiff
    This is the name of the image file itself
    Is there a way to import the name of the file (possibly without the extension) and place it as a caption under the image without copying and pasting? A script maybe?
    I tried the labelgraphics script. Unfortunately it returned the extension as well. I was given a solution but did not work for me since it deleted everything after the first dot on the name. This was:
    in labelgraphics on line 105, change
    myLabel = myLink.name
    to
    myLabel = myLink.name.split('.')[0]
    So how can we tackle this so it deletes everything after the LAST dot?
    Q2: The image files I am given are all huge tiff files. I use the full 100% of the images but in my document they have to be much smaller . They are usually scaled down to 25-35% of the original file. So I end up with huge, unneeded documents.
    Is there a script that would work together with photoshop maybe?
    It would need to read the frames dimensions the image is in, open the linked file inside photoshop, resize it with a specified interpolation to maybe 110% of the frame's dimensions, set the resolution (if not set) to 300 dpi save the image as a copy (or with a suffix or prefix), leave photoshop open (for the next image to be processed), relink to the new image and go to the next image
    I know it sounds a lot but I was amazed by the issues people handle in this forum and thought I would give it a try
    Thank you in advance
    Michael

    Peter thank you so much. It works like a charm.
    As for Q2, I spent some time doing research and came up with a couple of scripts that sound like they could do the trick.
    a) Image Transform or Rasterize
    http://www.adobe.com/cfusion/exchange/index.cfm?event=extensionDetail&loc=en_us&extid=1509 022#
    b) Resample Project Images to 100%
    http://www.adobe.com/cfusion/exchange/index.cfm?event=extensionDetail&loc=en_us&extid=1612 518#
    Still, since I am such an ignorant I do not know which one would be the proper one.
    Any suggestions would be highly appreciated.
    Thank you once more
    Michael

  • Possible to scan a document into Acrobat XPro,then replace part of that PDF with text or image file?

    Hi everyone,
    Is it possible first to  scan a paper document into Acrobat X Pro, and then to replace a "pane" or box within that PDF with a text or image file?
    Would it require  SDK and Javascript?
    Thanks,
    Rick Weinhaus

    CtDave and others:
    Here's the problem in more detail.
    I am a physician and I already have the ability to incorporate paper-based
    documents into an electronic health record (EHR) by scanning them and
    saving them as PDFs.  The documents are paper patient encounter forms with
    a different box or 'pane' for each category of data.   Each box has a fixed
    location on the paper form.
    There are three situations, however, where I want to have the option to
    overwrite certain boxes of the PDF with data generated by methods other
    than scanning.
    1) Insert text macros with variable fields using the electronic health
    record's software.
    2) Insert a drawing available as a pre-existing JPG or generated by using a
    tablet.
    3) Insert text generated using Dragon Naturally Speaking.
    For efficient office workflow, in all three cases the text or image to be
    inserted into the box or 'pane' in the PDF needs to be generated while I am
    still seeing the patient -- that is -- before the paper form has been
    scanned and converted to a PDF.
    The sticky note tool is one option, but I would want to be able to modify
    the default size of the sticky note so that it corresponded exactly to the
    size of the box it was 'overwriting.'  I can't figure out how to do this?
    Could it be done using scripting?
    Furthermore, I would want the sticky notes to automatically display when
    the PDF was opened, so that a hover would close rather than open them.  This
    sticky note method would have the advantage of allowing the user to toggle
    back and forth between inserted data and any original handwritten text.
    Any thoughts?
    Rick

  • Possible to use RMI to upload client side image files?

    Is it possible to use RMI to upload client side image files? My intent is to allow remote clients to upload a number of small image files (jpg & gif) to a central server DBMS, by modifying a "Customer" object (eg adding a new Image object to a vector of Images?) Is this possible? Any suggestions greatly appreciated :))

    Is it possible to use RMI to upload client side image
    files? One possibility is to implement a serializable image object that you can upload to the server as any other object. When your server receives this serialized image object, just generate a new gif/jpg from it.
    But if your client-program is an applet, the situation is different: how can applet read image-file from users harddrive?
    krera

  • Is it possible to save a picture/image file that is within an iOS app?

    Is it possible to save a picture/image file that is within an app?
    The image in question cannot be shrunk, so using the iPhone built-in Screenshot function (by pressing both "Home" & "On/OffSleep/Wake" buttons simultaneously) only produces part of the image.
    Thanks.

    Generally in all Apple iOS apps, you can hold down on the image and the save image dialogue will pop up. Many third party developers adopt this method, but some also have other weird ways of doing it through menus. What appa re you trying to do this with?

  • How can I possible lose my entire photo library/ referenced master image file if I have downloaded all my images directly onto Aperture?

    How can I possible lose my entire photo library/ referenced master image file if I have downloaded all my images directly onto Aperture?

    CeliaJane, you need to distinguish between the Aperture Library and the Aperture Application.
    Aperture stores your images in a database, and it is this database that we have to find and probably to repair, not the application (hopefully). And since you do not see any images, I suspect that Aperture created a new, empty Aperture Library,and you are now viewing this empty library. Usully the library sits in your Pictures fiolder.
    Look into your Pictures folder, if you see a file with a colorful icon with three pictures, called something.aplibrary, as shown above. And also enter ".applibrary" into the "Spotlight" searchfield in the upper right corner of your screen. If you have more than one Library, you should be able to find them this way.
    The largest file you find will probably be the correct library.
    When you have found the correct file called aperturexxxx.aplibrary, try to open it in "First Aid" mode.
    Select the Library and press down "command" and "option" key at the same time, while you double click the library.
    Now the First Aid dialogue should appear:
    Select: Repair Permissions" and see if you can open the Library again. If it did not help, try the other two options in turn.
    Regards
    Léonie

Maybe you are looking for