LabView FPGA ERROR:place:543

Hi folks,
While i'm compiling my FPGA vi,
i have got the device utilization report as 76%...while phase 13.9(during mapping) calculation i'm getting Error:Map:543. placement constraint error..Give me a solution to resolve this issue...
Thanks in Advance....

Hi,
Thanks for your response....
i'm using LV 8.6.1 and RIO version is 3.1.0.
H/Ws are
Controller - cRIO-9014
Chassis - 9114
AI - 9205
AO - 9263
DO - 9476
DI - 9425
Basically i have two FPGA VIs. One VI which just fetches the AO channels' offset & LSB and i could compiled it. Another one i have put the FIFO and other main logics and that could not be compiled..
Here i have attached the compilation summary which i got...
Thanks....
Attachments:
xflow.log ‏2101 KB

Similar Messages

  • Labview FPGA compile stuck at "Place and Routing"

    I am using LabVIEW 2010 SP1 32-bit FPGA module.  I've built a very large program that was first done back using LabVIEW 8.6, so I have several years experience on LabVIEW FPGA.
    When I say it's a large program, I mean that a several times over the last couple years I've tried to add more functionality that has failed to compile do to not enough space on the target or timing restraints.  My target has mostly been the PCI/PXI NI-7813R.  Due to the nature of our product, a lot has to be done on one FPGA board.
    When I do go "over the limit" the compile (after a couple hours) fails and tells me that there's just not enough room on the 7813.
    Recently, however, I added some more code, thinking the odds were good that it might push me over the edge.  However, the compile never fails.  Unfortunately, it never stops either.  It gets to the "Placing and routing" portion of the compile and just stays there.  When I say stays there, I mean I've run it over night, and when I check it the next morning, the "Elapsed time" is over 10 hours, and still counting up.  The device utilization and estimated timing numbers are all under max.  And I see no errors in the report so far that I'm used to seeing.  Like I said, it just keeps compiling.
    I've attached the Xilinx log.  It looks much like the log before I added the extra code, except it just stops logging with reporting any useful error.
    Anyone have an idea what I could be doing wrong?
    Thanks,
    Rick
    Attachments:
    XilinxLog.txt ‏3936 KB

    tannerite,
    Thanks for your response.
    I added code that measures the "on time" of incoming DIO pulses.  If the pulses are HIGH for one given amount of time (eg. 60[+/- 5] usecs) it means one thing, if HIGH for a different amount of time (eg. 120[+/- 5] usecs) it means something else.  Generally speaking, I just keep a tick count between the rising and falling edge of the pulse, and use the "In Range and Coerce" from the Comparison Functions palette to check where the count lies.
    When it compiled successfully, I duplicated the above code for 10 seperate DIOs.  Then I realized I needed to monitor 20 DIOs.  It was when I added the code for these extra 10 DIOs that I got the "forever" compile.  The compile problem occurs everytime I try to compile with the extra 10 DIO code in place.  As an experiment, I just added 4 extra DIOs, i.e. code to monitor a total of 14 DIOs.  This causes the same compile problem.
    And yes, the compile seems to hang in the same place every time it hangs.  Like I said, I wouldn't be surprised if I'm just beyond the available resources available.  But when I've done this in the past, the compile does finally fail, and I get a useful error message.  I've never seen it go "forever".
    Thanks ahead of time for any insight you might have.
    - Rick

  • LabVIEW FPGA: An internal software error in the LabVIEW FPGA Module has occurred

    Hi,
    I am trying to build a LabView FPGA VI. During compilation, I always get error stating "LabVIEW FPGA:  An internal software error in the LabVIEW FPGA Module has occurred.  Please contact National Instruments technical support at ni.com/support".  At the time, comiplation process is almost at the end.  "Final Timing (place and route)" shows as completed. When Checked the Xilinx log session, at the end it states that "  Process "Generate Programming File" completed successfully". However, I do not see any generated bitfile.
    When clicked on error details, it shows following: 
    LabVIEW: An unknown error occurred.
    Error -2629 occurred at Error occurred while loading XML string. Invoke Node in niFpgaXml_PrettyPrint.vi->niFpgaWriteBitfileXml_Core.vi->niFpgaCompileWorker_CreateBitfile.vi->niFpgaCompileWorker_JobComplete.vi->niFpgaCompile_Worker.vi:6460001
    Possible reason(s):
    LabVIEW: An unknown error occurred.
    I tried multiple times but so far no luck to generate bitfile for this VI.  What could be wrong here?
    I am using Labview 2013

    Hi Mark,
    Here is the device utilization Summary. My development system is running with Windows-7 (32 bit) with 3GB RAM and Labview 2013. 
    Device Utilization
    Total Slices: 90.0% (11970 out of 13300)
    Slice Registers: 40.7% (43258 out of 106400)
    Slice LUTs: 71.6% (38103 out of 53200)
    DSP48s: 1.4% (3 out of 220)
    Block RAMs: 22.1% (31 out of 140)
    Timing
    40 MHz Onboard Clock
    : 40.00 MHz (57.90 MHz maximum)
    80MHz (Used by non-diagram components)
    : 80.00 MHz (100.19 MHz maximum)
    --WillsG

  • LabVIEW FPGA Module Error Code 10 when creating bitfile

    I am recieving the following error message when trying to compile a vi:
    Internal Error
    An internal LabVIEW FPGA Module error occurred at the following stage: Creating Bitfile.
    Error Code: 10
    Error Text: Create Folder in nirviRecursiveCreateFolder.vi-
    >nirviRecursiveOpenFile.vi->nirviWriteBitFileInformation.vi-
    >nirviCompileDownload.vi->hardware_template.vi
    If this problem persists, please contact National Instruments.
    Any help would be appreciated.  I am not very familiar with LabView, and am trying to run code generated by a grad student several summers ago.  If more information is needed, let me know and I will try to provide it.
    Thanks

    Arkolbus-
    It sounds like the compiler is having some trouble creating a folder that it needs to generate the bitfile.  First, check all of the usual things: are you an administrator? do you have worte access to the "FPGA Bitfiles" folder in your project?
    If it looks like you should be able to write the file, you can try posting your project (zipped) and let someone on the boards try to compile it (be sure to let us know what the versoin is). If we can compile it without issue you may want to try repairing your FPGA module installation.
    Xaq "Bulldozer"

  • Spartan 6 LX100 - synthesys OK but Place:543 error when mapping

    Hi all,
    I am currently working on a code for a Spartan 6 LX100 and I have about 70% of the code ready. I have decided to implement the design half-way to avoid any surprises at the end and well, it didn't fit.
    When I synthesyze the design it appears to have quite some space left to map:
    Slice Logic Utilization:
    Number of Slice Registers: 9353 out of 126576 7%
    Number of Slice LUTs: 10582 out of 63288 16%
    Number used as Logic: 10581 out of 63288 16%
    Number used as Memory: 1 out of 15616 0%
    Number used as SRL: 1
    Slice Logic Distribution:
    Number of LUT Flip Flop pairs used: 12463
    Number with an unused Flip Flop: 3110 out of 12463 24%
    Number with an unused LUT: 1881 out of 12463 15%
    Number of fully used LUT-FF pairs: 7472 out of 12463 59%
    Number of unique control sets: 457
    IO Utilization:
    Number of IOs: 108
    Number of bonded IOBs: 101 out of 326 30%
    IOB Flip Flops/Latches: 61
    Specific Feature Utilization:
    Number of Block RAM/FIFO: 153 out of 268 57%
    Number using Block RAM only: 153
    Number of BUFG/BUFGCTRLs: 2 out of 16 12%
    Number of DSP48A1s: 8 out of 180 4%
    The percentage of fully used LUT-FF is of 59%, which I reckon is a good number (might be wrong) and means I am not having trouble with too many control sets.
    Then I tried to implement the design and it took me about 20 hours and fails at the end.
    Place:543 - This design does not fit into the number of slices available in this device due to the complexity of the design and/or constraints.
    Unplaced instances by type:
    BLOCKRAM 100 (97.1)
    The following instances are the last set of instances that failed to place:
    0. BLOCKRAM
    i_AVERAGE_DATA/i_AVG_VALID_COLOUR4_RE_CHT4/U0/xst_blk_mem_generator/gnativebm
    g.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_init.ram/SDP.SIMPLE_PRIM9
    .ram
    1. BLOCKRAM etc...
    These instances could be impacted by the following constraints
    (the line IDs below correspond with the instances above):
    Clock Region restrictions
    0.
    CLOCKREGION_X0Y0, CLOCKREGION_X1Y0
    1.
    CLOCKREGION_X0Y0, CLOCKREGION_X1Y0
    etc...
    I can't understand why I am getting this error, it seems like the tool can't route the clock nets to the BRAMs, but why? It can't really figure it out by the information the report is giving me.
    By the way, the only reason I am using an LX100 is because I need 266 (18K) BRAMs for this design.
    Thanks a lot for the help.
    Best regards,
    croto

    This is the configuration file (.xco) from Core Generator. I can't see any obvious problems:
    # Xilinx Core Generator version 14.7
    # Date: Mon Jul 27 15:17:52 2015
    # This file contains the customisation parameters for a
    # Xilinx CORE Generator IP GUI. It is strongly recommended
    # that you do not manually alter this file as it may cause
    # unexpected and unsupported behavior.
    # Generated from component: xilinx.com:ip:blk_mem_gen:7.3
    # BEGIN Project Options
    SET addpads = false
    SET asysymbol = true
    SET busformat = BusFormatAngleBracketNotRipped
    SET createndf = false
    SET designentry = VHDL
    SET device = xc6slx100
    SET devicefamily = spartan6
    SET flowvendor = Other
    SET formalverification = false
    SET foundationsym = false
    SET implementationfiletype = Ngc
    SET package = fgg484
    SET removerpms = false
    SET simulationfiles = Behavioral
    SET speedgrade = -2
    SET verilogsim = false
    SET vhdlsim = true
    # END Project Options
    # BEGIN Select
    SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:7.3
    # END Select
    # BEGIN Parameters
    CSET additional_inputs_for_power_estimation=false
    CSET algorithm=Minimum_Area
    CSET assume_synchronous_clk=false
    CSET axi_id_width=4
    CSET axi_slave_type=Memory_Slave
    CSET axi_type=AXI4_Full
    CSET byte_size=9
    CSET coe_file=no_coe_file_loaded
    CSET collision_warnings=NONE
    CSET component_name=BRAM_SDP_1Kx9
    CSET disable_collision_warnings=true
    CSET disable_out_of_range_warnings=false
    CSET ecc=false
    CSET ecctype=No_ECC
    CSET enable_32bit_address=false
    CSET enable_a=Use_ENA_Pin
    CSET enable_b=Use_ENB_Pin
    CSET error_injection_type=Single_Bit_Error_Injection
    CSET fill_remaining_memory_locations=true
    CSET interface_type=Native
    CSET load_init_file=false
    CSET mem_file=no_Mem_file_loaded
    CSET memory_type=Simple_Dual_Port_RAM
    CSET operating_mode_a=WRITE_FIRST
    CSET operating_mode_b=WRITE_FIRST
    CSET output_reset_value_a=0
    CSET output_reset_value_b=0
    CSET pipeline_stages=0
    CSET port_a_clock=100
    CSET port_a_enable_rate=100
    CSET port_a_write_rate=50
    CSET port_b_clock=100
    CSET port_b_enable_rate=100
    CSET port_b_write_rate=0
    CSET primitive=8kx2
    CSET read_width_a=9
    CSET read_width_b=9
    CSET register_porta_input_of_softecc=false
    CSET register_porta_output_of_memory_core=false
    CSET register_porta_output_of_memory_primitives=false
    CSET register_portb_output_of_memory_core=false
    CSET register_portb_output_of_memory_primitives=false
    CSET register_portb_output_of_softecc=false
    CSET remaining_memory_locations=0
    CSET reset_memory_latch_a=false
    CSET reset_memory_latch_b=false
    CSET reset_priority_a=CE
    CSET reset_priority_b=CE
    CSET reset_type=SYNC
    CSET softecc=false
    CSET use_axi_id=false
    CSET use_bram_block=Stand_Alone
    CSET use_byte_write_enable=false
    CSET use_error_injection_pins=false
    CSET use_regcea_pin=false
    CSET use_regceb_pin=false
    CSET use_rsta_pin=false
    CSET use_rstb_pin=false
    CSET write_depth_a=1024
    CSET write_width_a=9
    CSET write_width_b=9
    # END Parameters
    # BEGIN Extra information
    MISC pkg_timestamp=2012-11-19T16:22:25Z
    # END Extra information
    GENERATE
    # CRC: f38a1908

  • "LabVIEW FPGA: The compilation failed due to timing violations, but there is no path information because the timing violations are not of type PERIOD

    The compilation of my labview fpga vi fails with the error message "LabVIEW FPGA:  The compilation failed due to timing violations, but there is no path information because the timing violations are not of type PERIOD".
    In the 'final timing (place and route)' report, the requested frequencies are all below the maximum frequencies and the compilation error message is only displayed at the very end on the 'summary' page.
    I tried to optimize my labview fpga vi with pipelining, but had no success.
    Can anybody offer some advice on how to debug fpga code with this error? Is this really a timing error or something else?
    Software:
    Labview 2011, fpga 2011, xilinx tools 12.4 sp1
    Hardware:
    NI PXIe-1071 Chassis
    NI PXIe-8108 Embedded controller
    NI PXIe-7965R FPGA FlexRIO FPGA module
    NI 5761 250 MS/s 14 bit Analog input digitizer
    The Xilinx log of the compilation run is attached.
    Also, this issue was already discussed in this thread ~6 months ago, but no satisfying answer was offered so far...
    Thanks,
    Fabrizio
    Attachments:
    xilinxlogc.txt ‏2313 KB

    Hi Kyle,
    the problem is: I have one computer which compiles the VI successfully and a second one which shows that error. Both use the same software setup (LV2011SP1+RT+FPGA from DS2012-01). Both use the same project file - atleast SVN shows no difference.
    - You can have one FPGA VI where one computer is compiling successful and a second one complains. (Btw. I have a SRQ running in Germany on this topic.)
    - More problems: After successful compiling on first computer and transferring all to second computer (using SVN, including the full project folder with all files like bitfiles, lvproj, and everything) the second computer is unable to start the RT executable due to error "FPGA VI needs to recompile". Solution so far: Call the FPGA-OpenReference with the bitfile instead of the VI (as I used to do until now)...
    - More problems: After modifying the FPGA-OpenReference to use the bitfile (on the 2nd computer) and transferring all the files back to the 1st computer (using SVN as before, including the whole project) the 1st computer complains: FPGA-OpenReference is creating a different reference than is used in the VI. So what happens here? On one computer my VI is ok, the reference is typed correctly. Transferring all the files to a different computer the VI isn't ok anymore due to changes of the reference??? You know: all files are the same: lvproj, FPGA bitfile didn't change, cRIO reference didn't change...
    All those problems didn't occur on my RT-FPGA projects in LV2010SP1. I'm not pleased...
    Best regards,
    GerdW
    CLAD, using 2009SP1 + LV2011SP1 + LV2014SP1 on WinXP+Win7+cRIO
    Kudos are welcome

  • FlexRIO DRAM problem in Labview FPGA 2010

    Hello,
    I am just switching from Labview FPGA 2009 to Labview FPGA 2010 and I am having compilation problems with very simple projects that shouldn't fail to compile.
    I am using a FlexRIO 7965R board as a target. Initially, I just wanted to recompile a project that was working fine under Labview FPGA 2009. When it failed, I drastically
    simplified it to isolate the source of error. I ended up with what is attached. In this very simple VI I just write some values into DRAM banks in one timed loop, read them out in another and send them through a Target to Host DMA FIFO. Both loops are quite slow running at only 40 MHz. Previously, I was able to compile VIs with DRAM clip nodes in timed loops running at 100 MHz without any problems.
    The compilation fails with this summary:
    "Compilation
    failed due to resource overmapping"
    although it should fit easily.
    The error is definitely related to DRAM. This s what Xilinx log says:
    "ERRORlace:543
    - This design does not fit into the number of slices available in this device
    due to the complexity of
       the design and/or constraints.
       Unplaced instances by type:
         IDELAYCTRL    21 (48.8)  "
    Then it lists these instances (about 20):
       0. IDELAYCTRL
    Puma20DramMainx/GenBank0or1Mig.u_ddr2_idelay_ctrl/u_idelayctrl_MapLib_replicate0
       1. IDELAYCTRL
    Puma20DramMainx/GenBank0or1Mig.u_ddr2_idelay_ctrl/u_idelayctrl_MapLib_replicate1
       2. IDELAYCTRL Puma20DramMainx/GenBank0or1Mig.u_ddr2_idelay_ctrl/u_idelayctrl_MapLib_replicate2
    Both DRAM banks are configured with the clip node for 128-bit FIFO version v1.1.0. I also tried using the legacy version v1.0.0 but it didn't make any difference.
    It looks like I have some configuration problems I can't identify or there is something wrong with the DRAM clip node in Labview FPGA 2010.
    Any ideas on what could be happening here? Any help would be much appreciated.
    Regards,
    Ivan
    Attachments:
    flexrio_dram_test.lvproj ‏157 KB
    flexrio_dram_test_fpga.vi ‏124 KB

    Hello Ivan,
    It looks like in your project you are using both the NI 5761 adapter module and DRAM.  We have seen a few cases where certain combinations of DRAM, adapter modules, and FlexRIO FPGA targets in LabVIEW FPGA 2010 have caused some resource overmapping errors of the IODelayCtrl components used in the adapter module and DRAM CLIPs. Due to a bug, certain constraints inside of the CLIP cores are misinterpreted by the ISE compiler causing this overmap error when you switch to LabVIEW FPGA 2010. 
    This was reported to R&D (# 258076) for further investigation and to create a long term fix.  In the meantime, for this specific issue please use the following knowledgebase article to apply a patch to your FlexRIO fixed logic files.  This patch updates some of the constraints used by the DRAM to ensure that the Xilinx compiler can properly interpret them. There are more details on your issue in the knowledgebase as well. 
    Knowledgebase 5E4FNCDP: Error, “Compilation Failed Due to Resource Overmapping,” When Using NI FlexR...
    If you do run into any other issues regarding IODelayCtrl components, feel free to reply to this forum topic to let me know about them.
    Regards,
    Browning G
    FlexRIO R&D

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Labview FPGA called another software component

    Hi
    I am trying to compile my FPGA code which has previously compiled. I get this error. 
    "Labview FPGA called another software component, and that component returned the following error:
    Error Code: -52009
    NI Platform Services: The requested resource has been marked for deletion and is rejecting new requests."
    What is this error code?

    Hi, 
    I've been looking in to this for you today - unfortunately, that seems to be a really rare error code which doesn't come up very often on our systems, so there's no quick fix that I can find.  
    A couple of options: 
    1) Have you made any changes to the code recently, in terms of updating it from an earlier version of LabVIEW? If so, it may be worth mass compiling your project up to the latest version in case there are references to software components which no longer exist.
    2) It's probably worth a try repairing your LabVIEW, FPGA and RIO drivers installations from disk, as described here: http://digital.ni.com/public.nsf/allkb/FE6B641E86E55AF2862576DE00038001?OpenDocument
    This could be due to some kind of missing or corrupted component in, for example, the Xilinx Tools
    3) Are you referencing any kind of external software, such as DLLs or third party instruments, in your file?
    4) What hardware are you using?
    Please let me know how you get on with these queries.
    Best wishes, 
    Chiara A
    Applications Engineer with NI UK & Ireland

  • FPGA ERROR:HDLCompiler:806

    Hi Guys,
    I am having trouble compiling a piece of FPGA code that converts an array of four U8 numbers into a floating point number. 
    There are no labVIEW reported errors on the block diagram but when I attempt to compile (press the run button) I get the following error in the compilation status window.
    LabVIEW FPGA:  The compilation failed due to a xilinx error.
    Details:
    ERROR:HDLCompiler:806 - "C:/NIFPGA/jobs/SD41b8F_kGH6B4K/DeleteArrayNode_39.vhd"
       Line 38: Syntax error near "/".
    INFO:HDLCompiler:1061 - Parsing VHDL file
       "C:/NIFPGA/jobs/SD41b8F_kGH6B4K/DiagramReset.vhd" into library work
    I am compiling for a sbRIO-9606 and my operating system in Windows 7 64bit with LabVIEW 2011.
    I found this post: http://digital.ni.com/public.nsf/allkb/2DA4F6A0C206E03A862578E9007DFECE that seems to refer to this problem being fixed for LV2011.
    Please find my VI attached.
    -Adam
    Adam Amos | CPE Systems
    [email protected]
    Attachments:
    To Float.vi ‏23 KB

    Adam,
    So the error has to do with the 3rd delete from array in your program as the result is a size 0 array. This is a known issue. Logically you could replace the 3rd delete from array with a wire and your code should compile and behave the same the since there are only 23 elements left in your array.
    Kyle Hartley
    RIO Product Support Engineer
    National Instruments

  • LabVIEW FPGA: Multiple SCTL versus one SCTL (same clock domain)

    Hello NI forums,
    Question:
    See the attached picture from a modified version of the LabVIEW DRAM FIFO example. It probably explains my question more effectively than the paragraphs below.
    What is the difference to the LabVIEW / Xilinx compiliers, if any, between placing two independent branches of code in the same SCTL, versus placing them in individual SCTLs (in the same clock domain)?
    Misc. comments:
    I have briefly experimented with this concept using the included LabVIEW DRAM FIFO example (example finder >> Hardware Input and Output >> FlexRIO >> External Memory >> Simple External Memory FIFO.lvproj).
    I compiled the default example (the read and write interfaces are in separate 40MHz SCTLs) five separate times. Then I put the read and write interfaces in the same 40MHz SCTL and compiled another five times. The result (when both read and write interfaces were in the same SCTL) was a reduction in resource usage (according to the compilation summary).
    However, due to my lack of knowledge I'm hesitant to conclude that placing everything in one SCTL is always the best option. For example, I do not know what is created 'behind the scenes' with each SCTL. Perhaps putting independent branches of code in separate SCTLs makes it possible to route clock, reset, implicit enable, etc. signals more effectively.
    Background information:
    My task involves acquiring 2 channels of analog data using the NI 5772 and PXIe-7966. Data acquisition takes place in a 200MHz SCTL, and downstream processing is performed in a 100MHz SCTL.
    During a vast majority of the 100MHz SCTL processing stages of the FPGA VI, the 2 channels of data do not interact with eachother. So it would be easy for me to place them in separate 100MHz loops if doing so would somehow help the design (timing, resource usage, etc.).
    Thanks!
    Attachments:
    question.png ‏76 KB

    Intaris
    Trusted Enthusiast
    Posts: 3,264
    Re: LabVIEW FPGA: Multiple SCTL versus one SCTL (same clock domain)
    ‎10-28-2014 12:11 PM
    Just out of interest, what is the resource usage differential between the two versions?
    In response to the above comment,
    This is a little embarrassing, but it seems like the resource usage is similar than I initially thought for this particular example. I think the previous compilations that I based my assumption on coincidentally used more resources in the 2-SCTL loop case. I just compiled each version two additional times (see below).
    Here's the version with everything in one loop:
    Device Utilization
    Total Slices: 17.6% (2587 out of 14720)
    Slice Registers: 9.5% (5583 out of 58880)
    Slice LUTs: 8.2% (4855 out of 58880)
    DSP48s: 0.0% (0 out of 640)
    Block RAMs: 2.5% (6 out of 244)
    Device Utilization
    Total Slices: 16.9% (2493 out of 14720)
    Slice Registers: 9.5% (5583 out of 58880)
    Slice LUTs: 8.3% (4858 out of 58880)
    DSP48s: 0.0% (0 out of 640)
    Block RAMs: 2.5% (6 out of 244)
    Here's the version with the read and write in separate loops:
    Device Utilization
    Total Slices: 16.4% (2407 out of 14720)
    Slice Registers: 9.5% (5583 out of 58880)
    Slice LUTs: 8.2% (4852 out of 58880)
    DSP48s: 0.0% (0 out of 640)
    Block RAMs: 2.5% (6 out of 244)
    Device Utilization
    Total Slices: 19.4% (2859 out of 14720)
    Slice Registers: 9.5% (5583 out of 58880)
    Slice LUTs: 8.3% (4859 out of 58880)
    DSP48s: 0.0% (0 out of 640)
    Block RAMs: 2.5% (6 out of 244)

  • How To Handle Labview Runtime Error in Teststand

    Hi,
    I am working NI-CAN device to transfer CAN frames through the VI's using TestStand. Before transmission took place, we need to choose for the port, in case if the port is selected wrong, Labview take it as a runtime error and handles accordingly while teststand keeps on running i.e. if I have 7 steps that are calling that VI, the runtime error from the labview will appear that many times also. Is there any way to handle labview runtime errors directly through TestStand. I have a sequence that will take care of the Runtime Errors in my sequence file.
    Please help me soon.
    Thanks in Advance,
    Vivek

    Hi Vivek,
    If the LabVIEW VI is handling the error, then what you probably want to do is make the VI have an Error Out output terminal. You'd have to wire the appropriate error out wires to the terminal of course.
    This way, when you call the VI from TestStand, you can check the value of the Error Out and decide in TestStand how to handle it (skip the remaining steps, popup a message, etc).
    Jervin Justin
    NI TestStand Product Manager

  • DCT for Labview fpga

    Hi,
    I have problems based on dct for labview fpga. I get the project example from this link https://decibel.ni.com/content/docs/DOC-8202. I run the project in labview and its run succesfully. After that, I try to use a different vhdl code for the CLIP (in labview) that I get from open cores (http://opencores.org/project,dct_idct). I rewrite the fpga.vi by inserting a new vhdl code in the CLIP. When I run the project, there are no errors. However, the compressed image is not display. I check the output values from the Read from FPGA block at the host.vi and it shows zero values at all time. I try to debug, but still don't get the solution. Anyone expert please help me. Thanks in advance.

    Hey Troy,
    Have a look at the attached front panel design that will be compatible in FPGA.
    Hopefully it helps!!
    Aashish M
    Applications Engineer
    National Instruments
    http://www.ni.com/support/
    Attachments:
    FPGA_CALC.vi ‏22 KB

  • Acquiring data in LabVIEW FPGA

    Hello,
    I am working on LabVIEW FPGA where I have to acquire data from a source and take its average. One way to do that is to keep adding every new signal to the sum f the older ones and then average.
    However, I wish to store all the incoming values into a file and save it. But when I tried doing so, it gives an error saying "array size cannot be changed". With this constraint, I am unable to write the data into an array.
    Please suggest.
    Thank you. 
    Dheeraj Bharadwaj
    IIT-Madras
    Solved!
    Go to Solution.

    You need to acquire data, pass it to the RT, then log there. You cannot use build array on the FPGA because FPGA will not allow for dynamically allocating memory like this. You must preallocate the array then use replace array subset. A better way is to use DMA FIFOs. Have a look at the FPGA examples in LabVIEW for streaming data. Then, on the RT, you can just add in your file logging functions.
    CLA, LabVIEW Versions 2010-2013

  • NI 9512 with Labview FPGA Interface

    Is it possible to use the NI 9512 stepper drive module with the Labview FPGA interface or is it only possible to use it with the scan interface? When I try to add the module to an FPGA target, I get an error telling me that Labview FPGA does not support this module with the current version of NI-RIO, but I have the latest version of NI-RIO installed.
    Solved!
    Go to Solution.

    Hi RJ12,
    Thank you for the info!
    If this problem is holding you up you should give our Applications Engineering department a call at 866-275-6964 to discuss it. The 9076 can have some compilation compatibility issues with our motion modules so it's certainly possible you could be seeing such an error.
    Feel free to get in touch with us if we can help out in any way, or consider starting a new thread with more details on the compilation error if you'd like to see if a corrective action report (CAR) already exists.
    Best regards,
    Andy C.
    Applications Engineering
    National Instruments 

Maybe you are looking for

  • Unable to Launch C++ executable on Win7 x64

    Hi Everyone, I have a C++ executable that is digitally signed that when launched on Windows 7 x64, I get the following. 'Program Compatibility Assistant' dialog and the exe never runs. I thought maybe it was something with UAC, so tried it with it bo

  • Classpath in web.xml

    how do i define my classpath in the web.xml? i inserted the tag <classpath id='/directory/file.jar'/> w/in the <web-app> tags and my servlet still cannot find the file. is this the correct method?

  • Allow Sorting of Files in Review Tracker

    Please make the file list in the review tracker automatically sort by name rather than in reverse of the order you add them. The ability to add subfolders would also be helpful. When you create folders in the review tracker, they are automatically so

  • Authorisation to access the Project

    Dear all, I have SAP_ALL authorisation but still system is giving error of authorisation.  For CJ20N, when I create new project, I am getting following error message: <i><b>You are not authorized to access this project</b> Message no. ZPS052 Diagnosi

  • Using an .appcache file with Business Catalyst

    Has anyone found a way to implement an .appcache file in Business Catalyst? STEP 1 link to a cache file <meta charset="utf-8" manifest="mycache.appcache"> STEP 2 List files that should be cached in the mycache.appcache file CACHE MANIFEST # 2014-06-1