Material for a single project spread across different locations

Dear Friends
my client is executinig trun key projects. One single project may be spread across different geographical locations. Say Project name is "PRJ001".
PRJ001 will be executed in Bombay, Hyderabad, Chennai.
There are 2 scenarios for procuring materail:
1. Since my these places are quite far away, I might procure material from a venodr near to these locations.
2. I might give PO to one single vendor to dispatch material to these different locations for project execution.
In the both the cases, how to handle material?
What will be best option? Should I create a storage location (my client stores material @ site as these projects run for years)?
I'm procurial material as Project Stock (Q).
Say Bomaby location needs 500 no. of material, Hyderabad location needs 700 no. of material, Chennai location needs 300 no. of material.
Now how do I ensure that the right material with right quantity is reaching respective project site?
In some cases, project runs in remote location. Where there won't be any connectivity/ access to system. In such cases, if the site engineer enters GR/ IR & activity confirmation in excel sheet & later on sends an e-mail with this excel sheet to the office. How can we upload it to the system so that it updates the required fields in the system?
Please give your suggestions.
I appreciate your support/suggestion .
Thanks

Hi Amaresh,
I think your Option no. 01 holds good for your requirement. You can define the corresponding Project sites in Chennai, Mumbai and other places as Storage locations. Better define Seperate storage locations for different site locations.
I think having a delivery schedule with the specific requirement quantities and the storage location should resolve your issue of handling different quantities for the same material. This you can discuss & sort it out with your MM consultant.
Hope this gives some idea.
Regards,
L.Balachandar

Similar Messages

  • How to pass values from single Databank file across different scripts?

    Hi Guys,
    I have a question regarding using single databank file across different scripts.
    Lets say there 5 web service scripts and these are very generic scripts where the input/request xml schema of each script is parameterized without having any databank as script asset.
    These 5 web service scripts are like library functions and so we dont want to attach any databank as script asset.
    However I have a driver script to call all of these 5 library scripts and now I attach a databank (a .csv file) to the driver script as script asset. Say this .csv file has got 10 different columns with just single record/row.
    As and when the function call goes to those 5 scripts i.e one by one, then based on the function call the corresponding columns are used and the data for those columns must be read.
    Is there any solution to acheive this?
    Faster help is highly appreciated.

    Hi JB,
    what i was looking for, was an approach to use a databank file (.csv file) in a parent script and then by reading that .csv file, the data should be moved from the parent script to individual child scripts.
    The child scripts are very generic scripts and are like library functions which are not data dependent. they are fully parameterized and they dont have any sort of databank attached to them.
    Now the question is if the databank has got 10 columns where first 3 columns belong to one child script, second 3 columns belong to 2nd child script and so on.
    Then how do we pass the values from parent script to a child script and what is the best/recommended approach.

  • High Memory utilization for a single project

    Hi All,
    I am facing one issue with one of the projects configured. We have endeca installed on a Windows server and there are multiple projects setup. I am observing that dgraph.exe for a single project is taking as much as 80% of memory on server. I do see that the project is receiving around 300 to 350 requests every day which is slightly higher than other projects. I am required to manually restart the project before business starts and that releases the memory. I am not able to identify whats causing this issue. Any ideas on how to troubleshoot the issue?
    Any suggestions will be of great help..
    Thank You,
    Sunil

    The number of threads will not have any impact on memory usage, however, I agree with Saleh that this doesn't make any sense.
    I would suggest the following:
    Grab the stats page XML (http://[your server name]:[your port]/admin?op=stats).
    Restart the dgraph and see how much memory is taken up on the initial load. Then, assuming the memory consumption is reasonable, issue a couple N=0, N=something queries to the index and track what's happening with how much memory is being taken up.
    If you're still at a normal level, it seems like there must be some process or "bad query" that causes the memory to spike. A possible culprit might be found on the "most expensive queries" section of the stats page but it's possible it won't be there as that only tracks queries that have completed, not queries that are still in process or taking forever.
    The only other explanation I can think of is a rogue "bulk export" query where a user is trying to (for example) export the entire index to excel or something like that. Does your application offer that functionality?
    Regards,
    Patrick Rafferty
    Branchbird

  • Error : PRC: Generate Draft Revenue for a Single Project

    Dear all,
    I'm using Project using Cost / Cost as revenue accrual/Billing method
    and I try to Generate Draft Revenue but I have a problem
    Please suggest what could be wrong.
    Log file :
    Projects: Version : 11.5.0 - Development
    Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
    PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
    Current system time is 29-JUL-2009 11:15:45
    Entering parmai()
    ...Connected to Oracle
    Accrue Thru date from Command line is : 2009/08/02 00:00:00
    project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
    start project: end project:
    Revenue is running in Normal revenue mode
    Revenue is not based on specific project type...
    Revenue is not based on specific organization...
    Revenue is not based on specific customer...
    Revenue is not based on specific Agreement...
    Revenue is running for MCB/Non MCB projects...
    Revenue is running for release revenue mode...
    Revenue is not creating the detail report ...
    Revenue Processing Parameter List
    =================================
    -------------- < parameter list > -----------------------
    Accru Through Date ----------------------------------------> 02-Aug-09
    Project ID ------------------------------------------------> 35
    From Project Number --------------------------------------->
    To Project Number ----------------------------------------->
    Adjusting Revenue Run -------------------------------------> No
    Project Type ID -----------------------------------------> 0
    Organization ID ------------------------------------------> 0
    Customer ID ----------------------------------------------> 0
    Agreement ID ----------------------------------------------> 0
    Multi Currency Projects -----------------------------------> No
    Release Draft Revenue -------------------------------------> Yes
    Include Detail Report -------------------------------------> No
    -------------- < End of parameter list > -----------------------
    ...Request ID = 804880
    This concurrent request is not being rescheduled
    Current system time is 29-JUL-2009 11:15:45
    ...about to delete revenue for project id 35
    ...0 draft revenues deleted
    Current system time is 29-JUL-2009 11:15:45
    ...about to process adjustments
    SELECT p.project_id, p.segment1,
    p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
    p.project_bil_rate_date_code,
    p.project_bil_rate_type,
    p.project_bil_rate_date,
    p.project_bil_exchange_rate,
    p.projfunc_currency_code,
    p.projfunc_bil_rate_date_code,
    p.projfunc_bil_rate_type,
    p.projfunc_bil_rate_date,
    p.projfunc_bil_exchange_rate,
    p.revproc_currency_code,
    p.funding_rate_date_code,
    p.funding_rate_type,
    p.funding_rate_date,
    p.funding_exchange_rate,
    p.multi_currency_billing_flag,
    p.assign_precedes_task
    FROM pa_projects p, pa_project_types t
    WHERE p.project_id = :project_id
    AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
    AND :start_project_number||'x' != :end_project_number||'y'
    AND p.project_type = t.project_type
    AND t.project_type_class_code = 'CONTRACT'
    AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
    FROM pa_summary_project_fundings pf
    WHERE pf.project_id = p.project_id
    AND nvl(pf.revproc_baselined_amount, 0) != 0)
    AND NOT EXISTS
    (SELECT NULL
    FROM pa_draft_revenues r2
    WHERE r2.project_id = p.project_id
    AND r2.released_date||'' is null
    AND r2.generation_error_flag||'' = decode(:mass_gen,
    1, 'Y', r2.generation_error_flag)
    AND (EXISTS (SELECT NULL
    FROM pa_expenditure_items_all i
    WHERE i.project_id = p.project_id
    AND i.cost_distributed_flag ||''= 'Y'
    AND i.revenue_distributed_flag = 'N'
    AND i.expenditure_item_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate)
    AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
    FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
    WHERE r.project_id = i.project_id
    AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
    OR (l.expenditure_item_id = i.expenditure_item_id))
    AND      NVL(l.reversed_flag,'N') = 'N'
    AND      l.line_num_reversed IS NULL
    AND      r.project_id = l.project_id
    AND      r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ LEADING(v)*/NULL
    FROM pa_events v
    WHERE v.project_id = p.project_id
    AND ((v.revenue_distributed_flag = 'N'
    AND v.completion_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate))
    OR (substr(p.distribution_rule,1,4) = 'COST'
    AND v.revenue_distributed_flag = 'Y'
    AND v.completion_date
    > TO_DATE(NVL('02-Aug-09', sysdate))))
    AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
    DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
    AND NVL(revenue_hold_flag, 'N') = 'N'
    AND EXISTS (
    SELECT NULL
    FROM pa_tasks t
    WHERE v.task_id is not NULL
    AND t.ready_to_distribute_flag = 'Y'
    AND v.task_id = t.task_id
    UNION ALL
    SELECT NULL
    FROM pa_tasks t1
    WHERE v.task_id is NULL
    AND t1.ready_to_distribute_flag = 'Y'
    AND v.project_id = t1.project_id )
    AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
    where l.project_id = v.project_id
    AND ( l.task_id = v.task_id OR v.task_id is NULL )
    AND l.event_num = v.event_num
    AND NVL(l.reversed_flag,'N') = 'N'
    AND l.line_num_reversed IS NULL
    AND r.project_id = v.project_id
    AND r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ USE_CONCAT */ NULL
    FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
    WHERE bea.active_flag = 'Y'
    AND bea.billing_extension_id = be.billing_extension_id
    AND (bea.project_id = p.project_id
    OR bea.project_type = p.project_type
    OR bea.distribution_rule = p.distribution_rule)
    AND be.calling_process in ('Revenue','Both')
    AND nvl(be.call_after_adj_flag, 'N') = 'Y'
    AND be.trx_independent_flag = 'Y'))
    Current system time is 29-JUL-2009 11:15:45
    ...0 projects processed for adjustments
    Current system time is 29-JUL-2009 11:15:45
    ...about to generate revenue for project id 35
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:45
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Current system time is 29-JUL-2009 11:15:48
    ...generated revenue for project id 35, number DP700150.01
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:48
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    List of error messages for project id 35 for Revenue at location REG
    Procedure Name Message B Assgn Id Task Id
    pa_billing_pub.inse Create a default event type for this Bi 1
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...about to call AutoAccounting
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Warning in Flex Validation for line '35:353:1:1'
    Null GL# 50269 key flexfield is not allowed
    Warning in Flex Validation for line '35:353:2:1'
    Null GL# 50269 key flexfield is not allowed
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    ...returned from AutoAccounting
    ...about to update pe_expenditures_all for intercompany processing
    Current system time is 29-JUL-2009 11:15:50
    Entering parddl()
    ...setting error codes and bad code combination id to null
    ... Update draft revenues for marking autoaccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    1 rows updated.
    ... Update draft revenues without generation error to normal state
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    Leaving parddl()
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error due to ccid=-1
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error PA_REV_GEN_ERROR
    Current system time is 29-JUL-2009 11:15:50
    ...1 projects processed for revenue generation
    Current system time is 29-JUL-2009 11:15:50
    ...about to update proj summary funding amounts
    executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
    Current system time is 29-JUL-2009 11:15:50
    ...about to generate reports
    Current system time is 29-JUL-2009 11:15:50
    ...completed generating reports
    Process completed.
    Start of log messages from FND_FILE
    End of log messages from FND_FILE
    Executing request completion options...
    ------------- 1) PRINT   -------------
    Printing output file.
    Request ID : 804880      
    Number of copies : 0      
    Printer : noprint
    Finished executing request completion options.
    Concurrent request completed successfully
    Current system time is 29-JUL-2009 11:15:50
    ---------------------------------------------------------------------------

    Hi Dina, thx for your response
    I have error "Rejection Error : Auto Accouting Error"
    There is Output :
    Project Num Revenue(Credited) Customer Agreement Rejection Reason
    DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

  • "PRC: Generate Asset Lines for a single project" not generating certain asset lines

    Hi,
    There are certain invoice lines for which the PA_ADDITION_FLAG is Y in AP_INVOICE_DISTRIBUTIONS_ALL.
    However, on running the "PRC: Generate Asset Lines for a single project" the same are line amounts are not getting Generated, and are not being shown under Rejected Lines in the output file aswell.
    Can someone sugges what might be the issue?

    What is your PA Thru n FA Dates?
    PA date should be period end and FA date should be month end.........and also check CIP Interface amounts on Capitalization form..
    Regards
    Ragahvender K

  • PRC: Generate Draft Revenue for a Single Project shows error(The project does not have a baseline revenue)

    Project Num                    Project Name                        Revenue Project Invoice     Rejection Reason                             
                                                                       Accrual Invoice Method     
                                                                       Method  Method  at Top Task
    16                             Contract Project                    Event   Event   No          The project does not have a baseline revenue
    Regards,
    Harvir

    Hi Harvir,
    Please check:
    PRC: Generate Draft Revenue for a Single Project Showing Reject Reason As the Project Does Not Have a Baseline Revenue
    Thanks &
    Best Regards,

  • "Taxes for SEZ" and "Opg Stock of Material for Non Valuated Project Stock"

    Hi Guru's,
    Need two clarifications specific to SEZ:
    a. When an SEZ unit buys any materials from a DTA unit, and if the supplier issues ARE1 form then there is no Excise duty charged on such purchase. Similarly VAT is also exempt and CST is exempt if the SEZ unit issues Form I. However in case the SEZ unit is not NEF +ve after 5 years, then all these benifits taken on ED, CST, VAT etc needs to be paid back to the Govt.
    Hence how is it possible in SAP to capture all these benfits (ED,CST,VAT) in the MIRO Invoice posting stage, so that the details are available when needed?
    b. We are using Project Systems and the project is non-valuated. So in our case all material which we buy for Project is consumed at the GRN stage and there is no valuated inventory.
    However for "Annual Performance Report" it is mandatory to give the Opening stock of material.
    Can anyone throw some light how this can be calculated when we the Project is non valuated?
    Regards,
    Prasad

    Hi,
    This is little difficult to manage a material as valuated and non valuated. To make it non valuated you have to use a material type which is managed only on quantity basis and not on value basis like non valuated stock. Then you can do a material to material transfer posting. I haven't checked this scenario. For more info on this please refer these links:
    http://help.sap.com/saphelp_dimp50/helpdata/en/4c/227bb446e611d189470000e829fbbd/content.htm
    http://help.sap.com/saphelp_di471/helpdata/en/4c/227bdb46e611d189470000e829fbbd/content.htm
    http://help.sap.com/saphelp_di471/helpdata/en/4c/227ba746e611d189470000e829fbbd/frameset.htm
    http://help.sap.com/saphelp_di471/helpdata/en/4c/227be846e611d189470000e829fbbd/frameset.htm
    http://help.sap.com/saphelp_di471/helpdata/en/4c/227b8d46e611d189470000e829fbbd/frameset.htm
    Regards,
    Atal

  • PRC: Generate Asset Lines for a Single Project shows error(The project has no assets with valid in service dates.)

    Project Number             Project Name                    Exception Reason
    15                         Capital Project Jatyuapura      The project has no assets with valid in service dates.

    HarvirSinghSaini wrote:
    Project Number             Project Name                    Exception Reason
    15                         Capital Project Jatyuapura      The project has no assets with valid in service dates.
    PRC: Generate Asset Lines For A Range Of Projects Prints Exceptions (Doc ID 1519498.1)
    Integrating Oracle Inventory Transactions Into Oracle Projects To Generate Asset Lines & Interface Assets To Fixed Assets (Doc ID 1392743.1)
    Thanks,
    Hussein

  • Multiple Agreement for Single Project

    Hi All,
    In our Implementation client generally gets multiple agreements for a single project. How to specify the Agreement Reference when we are creating an Event for billing? By the way have set up the funding option at the Project Level.
    Thanks in Advance
    Saptarshi

    Hi
    The problem you are raising has no solution by standard functionality.
    Oracle promised to solve that for the first time in release 12.1 that should be available during 2009.
    There could be customized solution which involve several parts:
    Add a DFF on the event, so user can enter the agreement number.
    Guide the user to run the GDI process for range of projects with the parameters - project number and agreement number
    Develop a billing extension called on pre-processing of the GDI and another one called on the post processing step of the GDI.
    The pre-process billing extension will put all project events that are not of the requested agreement on Hold, and any different agreement should also be "put aside in a non billable situation". The post process billing extension will release the hold from the events, and return the agreements to "normal".
    I can admit such customization is ugly and risky. However, we have done it and it is working for us on production for several years. I have presented a paper regarding that on Collaborate 2007. You may look for the paper called: "Is it possible to invoice by contract using Projects Billing", on the OAUG web site.
    Dina

  • Multiple Billings for Single Project

    Hi Gurus,
    Is there any possibility to do multiple billings for one contract / one project from Project Level.
    How can we perform for Milestone / RRB?  I appreciate with possible steps / process.
    Regards
    Naveen

    Hi Naveen,
    If i understood your query correctly, yes it is possible to do multiple billings for a single project, rather a single sales order.
    As far as Milestone/RRB process is concerned, i would suggest you to go through SAP Help or search the forum. The query is very basic in nature and i am sure you will find the required answers in SAP Help.
    You can revert with more specific queries once you are done with SAP Help.
    Regards,
    Gokul

  • Regarding marking of status for generate draft invoice for single projects

    Hi,
    1)
    I have read through the portion - "Automatic Invoice Approve/Release Extension" in the document - "Oracle® Projects APIs, Client Extensions, and Open Interfaces".
    Noticed the package - "pa_client_extn_inv_actions" and procedures - "approve_invoice, release_invoice".
    I understand that these procedure play role in the actually approving & release of the invoice but will this also play role in changing the status or some other code need to be written for changing the status.
    My requirement of customizing a workflow for approval also need to mark status as unapproved when not approved. Not sure if same procedure will help.I am completely new in Project accounting so not sure if I have put forward very basic questions.
    2) Second question is in regards to the way this procedure should be modified :
    This is the code for approve_invoice:
    /*----------------------------------------------------------------------+
    | Approve Customer Invoice Template |
    +----------------------------------------------------------------------*/
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2, --File.Sql.39 bug 4440895
    X_Status out NOCOPY number ) is --File.Sql.39 bug 4440895
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    -- Add your Approve Invoice Logic here.
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    -- Do not add 'commit' or 'rollback' in your code, since Oracle
    -- Projects controls the transaction for you.
    EXCEPTION
    when others then
    -- Add your exception handler here.
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    I have shown below what I understand on the modifications to be done on the same:
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2,
    X_Status out NOCOPY number ) is
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    X_Approve_Flag := 'Y';
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    EXCEPTION
    when others then
    X_Status = 1;
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    **Will this modification "X_Approve_Flag := 'Y';" result in the invoices to be approved if I put this after the APPROVE path and if I put "X_Approve_Flag := 'N';", does that mean that the invoice would be rejected/unapproved so that I can put it after the REJECT path ?
    3)
    Custom workflow launch procedure to be fired from billing extension screen. Presently what is done is in the project template screen, in the billing assignment, the billing extension is referred.
    That means for each and every project, billing extension name will be attached.
    Present flow is once the generate draft invoice runs, triggers the billing extension, which in turn invoke the custom workflow launch procedure. But my question is how my workflow launch procedure is going to identify the particular transaction which triggered the workflow procedure, because based upon some project number/id/invoice number, I will have a select fetch the data into variable and then setting those into workflow attributes between create process and start process.
    So question is how my procedure will identify the transaction which invoked the procedure to get the particular project number/invoice number may be.
    I am not getting much from my research so thought of sharing with you if someone can assist me on the same.
    Regards,
    Ad

    Hi Krishna,
    Yes. I am invoking my workflow from billing extension only. I have entered the procedure name in the billing extension. Now when the draft invoice runs , setup is such that billing extension gets invoked as a result of "draft invoice for a single project".
    Till now its fine because my workflow is actually getting launched from here.
    Now custom requirement is that the approve and release in invoice review screen will be disabled and the approval process will have to be custom.
    Till this also its fine.
    A scenario is when the custom notification reaches approver. He/she would either approved or reject. If he approves, then invoice should get approved and then released.
    For this we tried and it seems no API is available as mentioned by Oracle. So whats the way to update invoice statuses ?. Presently we are going with a not recommended way - insert into tables . But I feel something should be there on updating status as per custom logic.
    You can let me know what u did for changing statuses
    When we do release from invoice review screen, an RA invoice number gets generated. I also need to update the table with the RA invoice number while insertion in table. Now there is no d/b sequence for this number. I have tried to open the logic of Release button and could get on table name PA_IMPLEMENTATIONS which always have 1 row for per OU. and there is a field next ra invoice number which shows the RA invoice number to be used. This value will keep on incremented as long as RA number gets generated.
    I am stuck at this place , on how to get the RA invoice number with some proper recommended method.
    In short I need a way to update inoice statuses like approved, released other than direct insert in table
    and how to get RA invoice number to be utilised while the release logic
    Regards,
    Ad

  • My browser dowloads everything automatically in MyDocuments. But, for security reasons, I need to setup a different download path, to a different location, where I keep my personal files. How do I change the download path? Thanks, Dan.

    My Firefox 3.6 is setup to download anything automatically in MyDocuments (which I never use).
    For security reasons, I designated a different location on my hard drive, where I keep my confidential files.
    How do I change this downloading path? I tried to change it by going to "Tools-Options-Save Files To", but it does not work.
    Thanks,
    Dan.

    Do you have any extensions that might interfere?<br />
    See [[Troubleshooting extensions and themes]]
    Does it work if you select Tools > Options > General : Downloads : "Always ask me where to save every file" ?
    Your above posted system details show outdated plugin(s) with known security and stability risks.
    # Shockwave Flash 10.0 r42
    # Java Plug-in 1.6.0_03 for Netscape Navigator (DLL Helper)
    Update the [[Java]] plugin to the latest version.
    *http://java.sun.com/javase/downloads/index.jsp (Java Platform: Download JRE)
    Update the [[Managing the Flash plugin|Flash]] plugin to the latest version.
    *http://www.adobe.com/software/flash/about/

  • How to create a Platinum,Gold and Silver Customer and how to set different price for a single material based on customer?

    Hi All,
    How to create a Platinum,Gold and Silver Customer and how to set different price for a single material based on customer?
    Assume Material is Pen.
    While creating Sales Order in VA01 how to bring different price for the same material for Platinum,Gold and Silver Customers.
    Kindly help me out.
    Thanks,
    Renjith Jose

    A good place to start is http://www.javaworld.com/javaworld/javatips/jw-javatip34.html
    Also, do a search in this forum on HttpURLConnection. That class allows you to use POST method to send form data to a web server.
    "Hidden" variables are only hidden in HTML. The HTTP that gets POSTed to the web server doesn't distinguish between hidden and not hidden. That is, the content you would write to the HttpURLConnection.getOutputStream() would be something like:
    hidden=1&submit=ok(Of course, the variable names would depend on what the web server was expecting from the form.)
    Also, be sure to set the Content-Type request parameter to "application/x-www-form-urlencoded"

  • Can Windows Server Backup spread a single backup job across multiple disks if they are not set up as a virtual disk?

    This may be a dumb question, but I can't seem to find any definitive information after having done many, many searches.  Short question is - can Windows Server Backup spread a single backup job across multiple disks if they are not in a storage
    pool or some other RAID/JBOD structure?
    Background:
    I'm running Server 2012 Essentials with all Windows Updates installed.  I have been backing up approx 2.8TB of data (Bare Metal Recovery, C:, S: (shared folders), and system reserved) for the past year+ onto a storage pool made up of two-2TB external
    USB drives.  Backup is slow (takes approx 1.5 days to complete), but generally works.  Not surprisingly I was constantly getting capacity low messages so I decided to increase my backup storage pool by adding a 3TB drive and another spare 750GB drive
    for a total of 7.75TB.  Instead of having four separate external USB enclosures, I bot a 4-bay enclosure - Startech.com model #S3540BU33E to simplify this (or so I thought!).
    The first problem I had was adding the two new drives to the existing storage pool. I think that is because the Startech uses a JMicron USB controller that reports identical uniqueid's for all drives so only one shows up in the GUI interface for creating storage
    pools. After doing research on this, I set up a new storage pool and virtual disk using all four drives via Powershell and thought I was good. However, when the backup ran, it failed after filling the first drive, saying there was no remaining capacity. In
    reality there were three remaining empty drives and there storage pool reported almost 5TB of avail capacity. I assumed this was due to the identical uniqueid issue so I decided to try a different tactic.
    Instead of using a storage pool that combines all four disks into one virtual disk, I just added each of them to Windows Server Backup as individual drives thinking it would manage them collectively. I.e., when a drive filled up during a particular backup,
    it would just start using the next drive and so on. Apparently this was a foolish assumption because the backup failed again as soon as the first disk filled up.
    So now I don't know if this is still an issue with the identical uniqueid's or if Server Backup actually can't spread a single backup across multiple individual drives that aren't in a pool or other virtual disk implementation. Hence, my original question.
    My guess is that it does *not* spread them across individual disks, but I just wanted to get confirmation.
    Thanks

    Mandy,
    Thank you for following up on my question.
    Unfortunately the article you referenced doesn't address what I am trying to accomplish.
    The article focuses on saving the same backup job to multiple disks and rotating the disks between on and offsite for enhanced protection.  However, it still requires that an individual backup job fits on a single disk.
    What I am trying to determine is if a single backup job can span across more than one physical disk (during the backup process) without those physical disks being in some type of virtual disk implementation (e.g., storage pool, RAID, etc.).
    Thanks,
    Gerry

  • How to capture the different sold-to party for a single WBS Element

    Hello,
    The client wants to automatically populate the Partner field in the WBSE. The Sold-to party that is indicated in the Sales Order will be the same with the Partner Field in the WBSE. I would like to ask regarding on how can we capture the different sold-to party for a single wbs element, since in our project there is a system constraint where it is not possible to have multiple u2018sold tou2019 rows assigned to partner field in the WBSE.
    Hoping for your suggestions and comments. Thanks in advance.
    - Irica
    Edited by: Irica Vaile Dalisay on May 25, 2011 3:45 PM

    Try using the Customer Enhancement

Maybe you are looking for