MIG v2.3 clock skew

Hi,
I am having issues closing timing on a design that uses the MIG to interface (via AXI4) to DDR3 (AXI clock = 250MHz, DDR3 clock = 500MHz). In the failing paths (-200ish ps WNS) the problem appears to be down to clock skew between the source and destination clocks on the AXI4 read interface (see report snippet below).
I think this is down to the fact that I am not using the user clock output from the MIG, but the original system clock to register the read data.
Is it possible to effectively de-skew the two clocks?
Regards
Max Delay Paths
Slack (VIOLATED) :        -0.226ns  (required time - arrival time)
Source:                 i_playout_block_wrapper/mig_7series_0_i/u_mig_7series_0_mig/u_memc_ui_top_axi/u_axi_mc/USE_UPSIZER.upsizer_d2/USE_READ.read_addr_inst/USE_BURSTS.cmd_queue/USE_FF_OUT.USE_FPGA_OUTPUT_PIPELINE.DATA_GEN[19].FDRE_inst/C
                            (rising edge-triggered cell FDRE clocked by clk_pll_i  {[email protected] [email protected] period=4.000ns})
Destination:            i_playout_block_wrapper/playout_block_i/axi4_register_slice_64_wrapper_mem_read_arbiter/axi4_register_slice_64_i/inst/r_pipe/skid_buffer_reg[46]/D
                            (rising edge-triggered cell FDRE clocked by clkout0  {[email protected] [email protected] period=4.000ns})
Path Group:             clkout0
Path Type:              Setup (Max at Slow Process Corner)
Requirement:            4.000ns  (clkout0 [email protected] - clk_pll_i [email protected])
Data Path Delay:        1.673ns  (logic 0.309ns (18.471%)  route 1.364ns (81.529%))
Logic Levels:           2  (LUT5=1 LUT6=1)
Clock Path Skew:        -2.342ns (DCD - SCD + CPR)
Destination Clock Delay (DCD):    7.241ns = ( 11.241 - 4.000 )
Source Clock Delay      (SCD):    10.889ns
Clock Pessimism Removal (CPR):    1.306ns
Clock Uncertainty:      0.192ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
Total System Jitter     (TSJ):    0.071ns
Discrete Jitter          (DJ):    0.104ns
Phase Error              (PE):    0.130ns
Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)

Hi
answer q1
This error because your second node time was greater than node one, this is causing OUI failed in running tar command on second node.
you need to set node 2 time slighter less or equal to node 1 time
answer q2
yes we can proceed installation with package greater or equal than required
answer q3
it seems your VMs memory allocation were to small (512MB). I don't think that would be enough to installing rac.
and try to install required packages
Cheers
FZheng

Similar Messages

  • How to set clock skew property at client side when invoking web services

    Hello,
    now we're using weblogic 11g, we want to set the clock skew properties.
    in server side, we "Create a Web Service security" to set the "Clock Skew" property, change it value from 60000 to 120000, then we invoke the web service from stand-alone client on another machine, the clock skew between the server machine an client machine is 80000. but it still throw exception:
    javax.xml.ws.soap.SOAPFaultException: Message Created time past the current time even accounting for set clock skew+
    at weblogic.wsee.jaxws.framework.jaxrpc.TubeFactory$JAXRPCTube.processResponse(TubeFactory.java:241)+
    we think we still need set the clock skew at client side. in webloigc8.1 doc(http://download.oracle.com/docs/cd/E13222_01/wls/docs81/webserv/client.html), we find there's properties such as "weblogic.webservice.security.clock.precision" we can set in client side, but in weblogic 10.3 doc, we can't find these properties.
    now how to set the clock skew at stand-alone client in weblogic 11g?
    Thanks!
    Fred

    Hello,
    now we're using weblogic 11g, we want to set the clock skew properties.
    in server side, we "Create a Web Service security" to set the "Clock Skew" property, change it value from 60000 to 120000, then we invoke the web service from stand-alone client on another machine, the clock skew between the server machine an client machine is 80000. but it still throw exception:
    javax.xml.ws.soap.SOAPFaultException: Message Created time past the current time even accounting for set clock skew+
    at weblogic.wsee.jaxws.framework.jaxrpc.TubeFactory$JAXRPCTube.processResponse(TubeFactory.java:241)+
    we think we still need set the clock skew at client side. in webloigc8.1 doc(http://download.oracle.com/docs/cd/E13222_01/wls/docs81/webserv/client.html), we find there's properties such as "weblogic.webservice.security.clock.precision" we can set in client side, but in weblogic 10.3 doc, we can't find these properties.
    now how to set the clock skew at stand-alone client in weblogic 11g?
    Thanks!
    Fred

  • Clusterware Installation - Clock Skew and Packages Issue?

    Hi,
    Can someone share how to resolve installation issues in Clusterware10.2,
    rac1 - VM Centos4.7, 512 RAM
    rac2 - VM Centos4.7, 512 RAM
    Both VMs are located on Windows XP physical box.
    1. error while copying directory /u01/crs/oracle/product/10.2.0/crs with exclude file list 'null' to node 'rac2'. [PRKC-1002: All submitted commands did not execute successfully.
    - when I check the log, time stamp in rac2 is 'x' in the future, where x = variable, 2 sec, 100 sec etc.
    What is the allowable clock skew between 2 nodes?
    2. My cluvfy output command failed due to not exact packages,
    Ex. compat-gcc-7.3-2.96.128
    actual package, using command ( rpm -qa compat-gcc*)
    -  compat-gcc-32-c++-3.2.3-47.3
       compat-gcc-32-3.2.3-47.3
    Can we proceed to installation with not exact package version?
    3. During installation, all configuration assistant failed. Any idea why this is happening? My cluvfy output is shown below.
    Thanks..
    [oracle@rac1 cluvfy]$ ./runcluvfy.sh stage -pre crsinst -n rac1,rac2 -verbose
    Performing pre-checks for cluster services setup
    Checking node reachability...
    Check: Node reachability from node "rac1"
    Destination Node Reachable?
    rac2 yes
    rac1 yes
    Result: Node reachability check passed from node "rac1".
    Checking user equivalence...
    Check: User equivalence for user "oracle"
    Node Name Comment
    rac2 passed
    rac1 passed
    Result: User equivalence check passed for user "oracle".
    Checking administrative privileges...
    Check: Existence of user "oracle"
    Node Name User Exists Comment
    rac2 yes passed
    rac1 yes passed
    Result: User existence check passed for "oracle".
    Check: Existence of group "oinstall"
    Node Name Status Group ID
    rac2 exists 500
    rac1 exists 500
    Result: Group existence check passed for "oinstall".
    Check: Membership of user "oracle" in group "oinstall" [as Primary]
    Node Name User Exists Group Exists User in Group Primary Comment
    rac2 yes yes yes yes passed
    rac1 yes yes yes yes passed
    Result: Membership check for user "oracle" in group "oinstall" [as Primary] passed.
    Administrative privileges check passed.
    Checking node connectivity...
    Interface information for node "rac2"
    Interface Name IP Address Subnet
    eth0 10.23.15.72 10.23.0.0
    eth1 10.23.15.74 10.23.0.0
    Interface information for node "rac1"
    Interface Name IP Address Subnet
    eth0 10.23.15.71 10.23.0.0
    eth1 10.23.15.73 10.23.0.0
    Check: Node connectivity of subnet "10.23.0.0"
    Source Destination Connected?
    rac2:eth0 rac2:eth1 yes
    rac2:eth0 rac1:eth0 yes
    rac2:eth0 rac1:eth1 yes
    rac2:eth1 rac1:eth0 yes
    rac2:eth1 rac1:eth1 yes
    rac1:eth0 rac1:eth1 yes
    Result: Node connectivity check passed for subnet "10.23.0.0" with node(s) rac2,rac1.
    Suitable interfaces for the private interconnect on subnet "10.23.0.0":
    rac2 eth0:10.23.15.72
    rac1 eth0:10.23.15.71
    Suitable interfaces for the private interconnect on subnet "10.23.0.0":
    rac2 eth1:10.23.15.74
    rac1 eth1:10.23.15.73
    ERROR:
    Could not find a suitable set of interfaces for VIPs.
    Result: Node connectivity check failed.
    Checking system requirements for 'crs'...
    Check: Total memory
    Node Name Available Required Comment
    rac2 502.28MB (514332KB) 512MB (524288KB) failed
    rac1 502.28MB (514332KB) 512MB (524288KB) failed
    Result: Total memory check failed.
    Check: Free disk space in "/tmp" dir
    Node Name Available Required Comment
    rac2 5.03GB (5271204KB) 400MB (409600KB) passed
    rac1 4.57GB (4792448KB) 400MB (409600KB) passed
    Result: Free disk space check passed.
    Check: Swap space
    Node Name Available Required Comment
    rac2 1023.99MB (1048568KB) 1GB (1048576KB) failed
    rac1 1023.99MB (1048568KB) 1GB (1048576KB) failed
    Result: Swap space check failed.
    Check: System architecture
    Node Name Available Required Comment
    rac2 i686 i686 passed
    rac1 i686 i686 passed
    Result: System architecture check passed.
    Check: Kernel version
    Node Name Available Required Comment
    rac2 2.6.9-78.ELsmp 2.4.21-15EL passed
    rac1 2.6.9-78.ELsmp 2.4.21-15EL passed
    Result: Kernel version check passed.
    Check: Package existence for "make-3.79"
    Node Name Status Comment
    rac2 make-3.80-7.EL4 passed
    rac1 make-3.80-7.EL4 passed
    Result: Package existence check passed for "make-3.79".
    Check: Package existence for "binutils-2.14"
    Node Name Status Comment
    rac2 binutils-2.15.92.0.2-25 passed
    rac1 binutils-2.15.92.0.2-25 passed
    Result: Package existence check passed for "binutils-2.14".
    Check: Package existence for "gcc-3.2"
    Node Name Status Comment
    rac2 gcc-3.4.6-10 passed
    rac1 gcc-3.4.6-10 passed
    Result: Package existence check passed for "gcc-3.2".
    Check: Package existence for "glibc-2.3.2-95.27"
    Node Name Status Comment
    rac2 glibc-2.3.4-2.41.el4_7.1 passed
    rac1 glibc-2.3.4-2.41.el4_7.1 passed
    Result: Package existence check passed for "glibc-2.3.2-95.27".
    Check: Package existence for "compat-db-4.0.14-5"
    Node Name Status Comment
    rac2 compat-db-4.1.25-9 passed
    rac1 compat-db-4.1.25-9 passed
    Result: Package existence check passed for "compat-db-4.0.14-5".
    Check: Package existence for "compat-gcc-7.3-2.96.128"
    Node Name Status Comment
    rac2 missing failed
    rac1 missing failed
    Result: Package existence check failed for "compat-gcc-7.3-2.96.128".
    Check: Package existence for "compat-gcc-c++-7.3-2.96.128"
    Node Name Status Comment
    rac2 missing failed
    rac1 missing failed
    Result: Package existence check failed for "compat-gcc-c++-7.3-2.96.128".
    Check: Package existence for "compat-libstdc++-7.3-2.96.128"
    Node Name Status Comment
    rac2 missing failed
    rac1 missing failed
    Result: Package existence check failed for "compat-libstdc++-7.3-2.96.128".
    Check: Package existence for "compat-libstdc++-devel-7.3-2.96.128"
    Node Name Status Comment
    rac2 missing failed
    rac1 missing failed
    Result: Package existence check failed for "compat-libstdc++-devel-7.3-2.96.128".
    Check: Package existence for "openmotif-2.2.3"
    Node Name Status Comment
    rac2 openmotif-2.2.3-10.2.el4 passed
    rac1 openmotif-2.2.3-10.2.el4 passed
    Result: Package existence check passed for "openmotif-2.2.3".
    Check: Package existence for "setarch-1.3-1"
    Node Name Status Comment
    rac2 setarch-1.6-1 passed
    rac1 setarch-1.6-1 passed
    Result: Package existence check passed for "setarch-1.3-1".
    Check: Group existence for "dba"
    Node Name Status Comment
    rac2 exists passed
    rac1 exists passed
    Result: Group existence check passed for "dba".
    Check: Group existence for "oinstall"
    Node Name Status Comment
    rac2 exists passed
    rac1 exists passed
    Result: Group existence check passed for "oinstall".
    Check: User existence for "nobody"
    Node Name Status Comment
    rac2 exists passed
    rac1 exists passed
    Result: User existence check passed for "nobody".
    System requirement failed for 'crs'
    Pre-check for cluster services setup was unsuccessful on all the nodes.

    Hi
    answer q1
    This error because your second node time was greater than node one, this is causing OUI failed in running tar command on second node.
    you need to set node 2 time slighter less or equal to node 1 time
    answer q2
    yes we can proceed installation with package greater or equal than required
    answer q3
    it seems your VMs memory allocation were to small (512MB). I don't think that would be enough to installing rac.
    and try to install required packages
    Cheers
    FZheng

  • OWSM Gateway Policy - Clock Skew

    Hi,
    We have a difference between our system clock and an incoming SOAP message header timestamp.
    <wsu:Created> is well in advance of our system clock. Is there any way we can apply clock-skew setting to OWSM to stop the failure? Can't find anything in documentation.
    Any help would be appreciated.
    Thanks

    Hi,
    Anybody got any ideas on this please? We have logged a call with Oracle Support, but were hoping someone on the forum may have encountered this? Obvious answer would be to synch clocks, but that is not possible unfortunately!
    Thanks

  • [SOLVED] ThinkPad T410 Clock Skew

    Hi,
    I'm seeing (negative) clock skew on my T410 after leaving it disconnected from AC power. The weird thing is that when I check the hardware clock via the BIOS on bootup from battery after AC power's been disconnected for a while, the time is correct. But if I then let it boot into Arch, the time Arch displays is incorrect. How far off the time is in Arch seems to be directly correlated to how long the laptop's been disconnected from AC power. Any ideas?
    Thanks!
    Last edited by w1ntermute (2011-01-25 00:37:39)

    I just removed /var/lib/hwclock/adjtime - I'll see tomorrow if it fixes the problem.
    Edit: looks like it's working now, thanks for the help!
    Last edited by w1ntermute (2011-01-25 00:37:29)

  • SA520W Clock skew detected

    I have a Cisco SA520W that has several "Clock kew detected" messages appearing in the logs. Does this indicated a device problem? The system time appears to be accurate on the device.

    Hi Brierley, this shouldn't affect anything. I believe the solution is to set a NTP server on the router (in the case you're pulling from the ISP). If you did configure a NTP server on the router, it is likely out of synch (try to remove the configuration).
    To my understanding Clock Skew errors usually means there are files or information that is "ahead" of the time reported.

  • Clock skew possibly caused by suspend/resume

    In an attempt to save electricity, my girlfriend has started suspending her desktop.  She started complaining that the clock was off, in spite of the fact that I have ntp running.  I think that what is happening is that when she suspends it, the time is saved and when she turns it back on, the time is set to what it was when she suspended it.  Another possibility is that the hardware clock takes over, and the internal battery is getting weak.  I would have to do some testing, and ban her from the pc for a while to figure out exactly what it is.
    Anyway, ntp doesn't work properly if the time is too far off, and gives up.  Has anyone else had this problem? 
    PS  The I haven't updated her software in a long time because unfortunately she has an onboard intel gpu, and the newer drivers aren't working so well.  Maybe this is a situation that has been resolved already.
    PPS I know that I could set a chron job to run ntpdate occasionally, but I think that this is unfriendly to the servers, and of course, she would have to wait for the chron job to fix the clock.

    This is funny! Something like that happened to me as well... I was suspending my laptop frequently and for a long period of time, and my clock started to be off.
    I was constantly setting it manually using the 'date' command, and then at some point it was normal again. I have no clue why it started working again. It just did.... I am not using suspend anymore, though.

  • 400MHz DDR3 MIG with 25MHz input clock

    I’m generating a memory controller to interface our DDR3 with x16@400MHz and I need to clarify the clocking configuration of the MIG.
    From ug388 I’ve understood that the controller has a PLL to generate the necessary clocks and the MIG generates the default parameters (mult/div) assuming that the input clock is the same as that of the DDR bus, so 400MHz. I have 25MHz as input to the PLL. Ug388 states that we need to modify the following parameters to set the correct clocking for a different input:
    C1_CLKFBOUT_MULT
    C1_DIVCLK_DIVIDE
    C1_CLKOUT0_DIVIDE (for sysclk_2x)
    C1_CLKOUT1_DIVIDE (for sysclk_2x_180)
    C1_CLKOUT2_DIVIDE (for user clock)
    C1_CLKOUT3_DIVIDE (for calibration clock)
    From my understanding sysclk_2x is two times the DDR bus clock, so in this case 800MHz (seems extremely high for a Sp6!). Anyway the only way I can do this is to have
    C1_CLKFBOUT_MULT = 32 (Generate the 800MHz)
    C1_DIVCLK_DIVIDE = 1 (Generate the 800MHz)
    C1_CLKOUT0_DIVIDE = 1; 800MHz sysclk_2x
    C1_CLKOUT1_DIVIDE = 1; 800MHz sysclk_2x_180
    C1_CLKOUT2_DIVIDE = 4; (for user clock at 200MHz)
    C1_CLKOUT2_DIVIDE = 8; (for calibration clock at 100MHz)
    Is this correct? To be honest I’m worried about the 800MHz, but this is my interpretation from ug388. Also I’m worried about generating an 800MHz from a 25MHz source, wouldn’t jitter be a problem?
    I also find it strange right away for the MIG to assume by default an input (user) clock of 400MHz, as this is a very high frequency for a spartan6…
    Too many doubts, looking forward for your answers. Thanks!

    Hi
    I agree that is it strange to choose memory clock as input clock by default , it is improved in later versions like 7 series and Ultrascale but for older devices this is still a limitation.
    You should be able to generate 800 Mhz with out any issues, you can cross check in clocking wizard for jitter etc.,
    There should be an AR with more details on how to change the input clock for MCB,  but looks removed from web.
    Here is its content 
    "To modify the clocking setup to create the necessary MCB clocks from a different input clock frequency or to adjust the user or calibration clock frequencies, the following PLL parameters can be adjusted at the top level of the MIG example or user design:
    Cx_CLKFBOUT_MULT
    Cx_DIVCLK_DIVIDE
    Cx_CLKOUT0_DIVIDE (for sysclk_2x)
    Cx_CLKOUT1_DIVIDE (for sysclk_2x_180)
    Cx_CLKOUT2_DIVIDE (for user clock)
    Cx_CLKOUT3_DIVIDE (for calibration clock)
    where "x" represents the MCB block number.
    Cx_MEMCLK_PERIOD is mapped to the CLKIN1_PERIOD of the PLL and is also used to determine a number of other parameters defined in mcb_raw_wrapper.v/vhd. So, an additional input clock parameter should be used to specify the input clock frequency and it should be mapped to the CLKIN1_PERIOD of the PLL (instead of Cx_MEMCLK_PERIOD). This has already been included in MIG v3.6 so that users do not need to do this in the future.
    There are two options to determining the correct values for the other parameters listed above:
    Use the Clocking Wizard found in the Xilinx CORE Generator (Coregen) tool to determine the appropriate parameter settings based on the desired input and output clock frequencies for the PLL. Choose "Manual Selection" and the "PLL_BASE" primitive on the opening dialogue page to ensure that a PLL is used. Only the above parameter values produced by the Clocking Wizard should be transferred back into the MIG design; no other output from the Clocking Wizard is needed. The Clocking Wizard also determines the resulting output jitter from a specific PLL configuration that can be used to validate the main MCB system clocks against the memory device input clock jitter requirements.
    Refer to the PLL chapter in the Spartan-6 FPGA Clocking Resources User Guide (UG382) to verify the proper settings of the above parameters for the desired input and output clock frequencies for the PLL: http://www.xilinx.com/support/documentation/user_guides/ug382.pdf. This method requires a better understanding of such aspects as keeping the PLL VCO operating frequency within the specification.
    In addition to providing the parameter values for the various output clocks in design top module, the following changes are required to reflect to the MIG rtl environment:
    1. UCF changes
    MIG generates the clock constraints in the UCF for the design frequency provided in the MIG GUI. When the input clock frequency is changed, users need to change the design frequency in the UCF. In the below constraint, modify the period value.
    NET "memc5_infrastructure_inst/sys_clk_ibufg" TNM_NET = "SYS_CLK5"; TIMESPEC "TS_SYS_CLK5" = PERIOD "SYS_CLK5" 5 ns HIGH 50 %;
    2. Testbench (sim_tb_top.v/.vhd) Changes
    MIG provides the clock generation logic in the simulation testbench (sim_tb_top module) for the design frequency provided in the GUI. This logic needs to be modified to reflect the new input clock frequency:
    Original Verilog Code:
    always #(C3_MEMCLK_PERIOD/2) c3_sys_clk = ~c3_sys_clk;
    Modified Verilog Code:
    Instead of using the parameter C3_MEMCLK_PERIOD/2 in the above logic, the bit time period value needs to be provided. For example, for input clock frequency of 50MHz (20000 ps), C3_MEMCLK_PERIOD/2 should be replaced with a value of 10000. After making this change, thecode looks like the following:
    always #10000 c4_sys_clk = ~c4_sys_clk;
    Original VHDL Code:
    process
    begin
    c1_sys_clk <= not c1_sys_clk;
    wait for (C1_TCYC_SYS_DIV2);
    end process;
    Modified VHDL Code:
    For an input clock frequency of 50MHz, code looks as follows:
    process
    begin
    c1_sys_clk <= not c1_sys_clk;
    wait for (10 ns);
    end process;"
     Hope this helps
    -Vanitha

  • Message Created time past the current time even accounting for set clock sk

    I'm getting the following when sending a message to a WebLogic 10.3 Web Service through OWSM security padding.
    <env:Envelope xmlns:env="http://schemas.xmlsoap.org/soap/envelope/">
    <env:Header/>
    <env:Body>
    <env:Fault xmlns:wsse="http://docs.oasis-open.org/wss/2004/01/oasis-200401-wss-wssecurity-secext-1.0.xsd">
    <faultcode>wsse:InvalidSecurityToken</faultcode>
    <faultstring>Security token failed to validate. weblogic.xml.crypto.wss.SecurityTokenValidateResult@39b53a[status: false][msg UNT Error:Message Created time past the current time even accounting for set clock skew]</faultstring>
    </env:Fault>
    </env:Body>
    </env:Envelope>
    On server side's TCP/IP Monitor I see the message received has <wsu:Created>2010-07-30T19:34:17Z</wsu:Created> in security header, the timestamp of TCP/IP Monitor showing time of request is 2010-07-30 12:32:51PM.
    Seems like the sender's clock is two minutes ahead of server.
    How do I adjust to lax the clock precision between client and server. Which side do I set the properties and what are the properties I need to set? Thanks

    Pam,
    from what i have gathered while trying to set mine up, the Time Capsule doesn't like other things broadcasting a wireless signal while it is trying to do the same... or at least, the other computers in the area can only recognize one at a time.
    So, in your case, you are using your MacBook Pro to wirelessly share the AirCard connection, right? If so, your computer is acting as a router, which means you have two devices trying to connect your computers to the same network wirelessly. I think this is why your computer isn't able to "see" your time capsule wirelessly. What i would recommend for you is to hook up your aircard to a computer that is not used very often, and (if it has a wireless adapter), turn the wireless adapter off. This way, you have only one device doing the wireless connecting (the Time Capsule in Bridge mode), which will direct internet traffic through ethernet to the connected computer.
    So, in conclusion, i just don't think it's possible to do what we want to do completely wirelessly.

  • Vivado 2014.2 MMCM and BUFGMUX multiple syncronous clock

    Hi,
    I am working on KC705 and I use Vivado 2014.2. The block diagram of the clocking structure and the system as below.
    I generated 3 clocks (250 MHz, 125 MHz, 62.5 MHz) from the input clock (250 MHz).  Because of the BUFG-BUFG error I select clocking wizard output with no buffer option. Then I select the clocks by using BUFGMUX. Finally, I have 4 clocks that I used in the whole design. All clocks should be synchronous to each other.
    How can I constraint these clocks? I tried to specify period seperately for each clock but the timing did not met.
    Thanks,
    Muhammet

    So, first, you don't need to add any constraints. You don't say how the clocks are generated, but I assume you are using an MMCM. If so, then the tool can derive all the clocks from the input clocks - simply do a create_clock on the input clock and all the other clocks will be automatically generated (you don't need any create_generated_clock commands).
    The multiplexed clock will be complicated - the output of the two cascaded BUFGMUXes will carry all three clocks; the 250, the 125 and the 62.5. The tools will actually perform timing analysis on all FFs on this domain 8 times - between each pair of clocks. But, since all the clocks are multiples of eachother, this will effectively constrain paths to the 250MHz clock.
    The paths between the multiplexed clock and all other clock domains will be effectively timed at 250MHz. Assuming that there are legal crossings between the MUXed clock and all three domains (regardless of which mode is selected for the MUXed clock), this too is correct. If there are some restrictions (like there will never be an active path from the MUXed domain to the 62.5MHz domain when the MUXed domain is selecting one of the faster clocks), then exceptions will be required to loosen the requirements on these paths.
    All that being said, this is a BAD thing to do in an FPGA. Having different outputs of an MMCM take paths through different numbers of BUFGs introduces very nasty clock skew between the different domains. This will result in huge hold time violations that need to be fixed between the un-MUXed domains and the MUXed domain. This will likely fail timing analysis, and even if it does pass, will require lots of extra routing resources to fix the hold violations.
    I am not entirely certain what you are trying to accomplish by using the different domains. Since the 250MHz clock can be selected, all logic will have to be able to run at that speed, so there is no timing advantage to using the slower domains. If the slower domains are needed for functional reasons, then, rather than using clock MUXing, I would suggest using a single BUFGCE for the "multiplexed" domain, driven by the 250MHz output of the MMCM, and enabling the CE all the time (for 250MHz operation), every other clock (for 125MHz operation), or every 4th clock (for 62.5MHz operation). Using the BUFGCE, no generated clocks will be created - the tool will view the BUFGCE as a simple clock buffer, and everything will end up being timed on the 250MHz domain. In this case, there is only one domain on the output of the BUFGCE (250MHz), rather than 3 clocks - this will actually speed up place and route (since there are less timing paths).
    All 4 domains will end up going through exactly one BUFG or BUFGCE (which are identical resources), and hence will have no extra clock skew - this will avoid the hold time issue I described above.
    The only caveat is if you are planning to use the falling edge of the "multiplexed" domain. In all modes, the clock will be high for only one half period of the 250MHz domain; for 250MHz operation this will result in a normal 50/50 duty cycle. For 125MHz, though, the duty cycle will only be 25% and for the 62.5 it will be 12.5%. Again, this is only an issue if you use the falling edge for clocking logic (which is a bad design practice) or if you use an IDDR or ODDR for interfaces.
    Avrum

  • Clock moving too fast... again.

    I've had this problem before, I think...  Here is the thread:
    http://bbs.archlinux.org/viewtopic.php?id=28538
    The short version is that I added "clock=pit" to the kernel boot line in grub, and it worked until now.  The argument is still there in my menu.lst.  Is it possible that kernel developers actually used some code in the "default" clock timer in the "pit" clock, and transferred a bug?
    Everywhere I read of clock skew problems, people mention the CMOS battery.  If you run a system almost 24/7 (I rarely turn off my computer) would it matter if the CMOS battery were dying?  I'm not sure about this, but I think that linux only reads the time from the CMOS when it boots up, and then it keeps track of time on it's own, so the CMOS battery would have no effect on the system time while the computer was running.
    Right now, according to my clock radio (not pc related) it is 1602.  My taskbar clock says 1622, and when I run hwclock it says "Mon 04 Jun 2007 04:29:15 PM PDT  -0.020001 seconds".  So if I understand this correctly, my CMOS clock is actually ahead of my linux clock which is ahead of real time.
    Any ideas or new information anyone?

    OK, I feel dumb, but I finally solved my problem.  I thought that dynamic overclocking was disabled, but my bios has 2 different locations where it can be enabled.  I found the second menu where it was enabled and disabled it, and my clock is pretty much perfect now.  I had "noapic" in my kernel boot loader line, but I think the proper argument is "apic=no" or something like that.  That probably would have solved the problem as well.
    I think that this is technically a bug.  I've read several kernel bug reports that could actually be the same problem, but it gets kind of confusing because there were so many bugs with somewhat similar symptoms. 
    I'm not marking this "resolved" because there is still a bug that hasn't been fixed yet.  As unlikely as it seems, this thread might get the attention of somebody that actually has the skills required to write a patch.  Thanks again guys.
    I should throw in some info on my system.  It is an MSI k7n2 delta 2
    Last edited by Convergence (2007-07-28 11:14:40)

  • JAAS and Active Directory Problem

    I am attempting to use the JAAS Tutorial code to authenticate against a Windows 2000 domain controller. The code as is works against a domain controller that I set up, but when I attempt to authenticate against a client's domain, I receive an exception:
    Authentication failed:
    Pre-authentication information was invalid (24)
    javax.security.auth.login.LoginException: Pre-authentication information was invalid (24)
    The troubleshooting documentation indicates that this could mean 3 things:
    1. the password is incorrect - since I am logging in with my account, I am certain the password is correct.
    2. you are using the keytab to obtain the key and the key may have changed since obtaining the keytab - I am not using the useKeyTab option in my configuration of the Krb5oginModule and the option defaults to false.
    3. clock skew. I am sure that there is no time difference between my computer and the server.
    That said, does anyone know of any other reason that authentication will fail?

    I am using....
    AppConfigurationEntry entry = new AppConfigurationEntry(
    "com.sun.security.auth.module.Krb5LoginModule",
    AppConfigurationEntry.LoginModuleControlFlag.REQUIRED,
    options);
    and I get the same thing. Running Win2K Pro. Trying to use GSS-API to do Kerberos authentication.
    Jay

  • ACS 5.3 and Windows AD account lockout

    Currently on 5.3.0.40.2 when a invalid password is attempted via TACACS or RADIUS to the AD identity store is locks the account out on the first failed attempt. The AD policy is lockout after three attempts. Is there a way to fix this issue so the account is not locked out with only one failed attempt? I see options for local password policys in ACS but nothing for the identity store. For what its worth this happened also with ACS 4.X deployment before we moved to ACS 5.3.
    Just wanted to see if this is the expected behavior or if I should open a TAC case to see what is causing this.
    Thanks.

    Hi;
    Well, we got it working. Not sure of the exact fix, but allow me to ramble, perhaps it will help someone else.
    We think that a combinationof factors caused the problem. First, we had clock drift, and that resulted in clock skew messages in the logs like these:
    Sep 20 18:06:03 ecb-acs1 adclient[8322]: INFO  base.adagent start: Problem connecting to domain controller (KDC refused skey: Clock skew too great), will try again later.
    and
    ecb-acs1 adclient[1163]: WARN  base.bind.cache LDAP fetch CN=bubba,OU=staff,OU=edcenter,OU=edcenterarea,OU=episd,DC=episd,DC=org threw unexpected exception: SASL bind to ldap/[email protected] - GSSAPI Mechanism with Kerberos error ": Clock skew too great"
    Somehow the ACS lost the ntp config, very disturbing, because I know that one of the first things I did was setup NTP. So I re-did the ntp config, confirmed the time was accurate. Still failed. Then, because I was annoyed by the log entries comning out in UTC, I did a clock timezone to set it to local. That made the logs come out in local time, but might have caused other problems (I saw another forum entry for that) so I set it back to UTC.
    This begs the question - how to leave the timezone at UTC but fix the timestamps for the logs? This is easy on Cisco switches.
    Various reboots of the ACS after deleting the object in AD did not fix the problem. During these reboots I continued to use the original userid and password to authenticate. At all times, the "test connection" button showed that the credentials were OK.
    Because we had recently added our first Win2008 domain controller to our world (all ther other DCs are Win2k3), we started worrying about this:
    http://support.microsoft.com/kb/978055/en-us
    But, after some checking, it seems as if we already had the fix applied.
    Next, we created a dedicated user in AD for the ACS to use when authenticating. Deleted the ACS object, restarted the ACS, applied those new credentials. Still broken.
    Our AD admin looked in various logs and found some things, here is his summary:
    ----------- from Danny --------
    Checked the domain controller log under system.  Found the following:
    While processing an AS request for target service krbtgt, the account ecb-acs1$ did not have a suitable key for generating a Kerberos ticket (the missing key has an ID of 1). The requested etypes : 17. The accounts available etypes : 23  -133  -128  3  1. Changing or resetting the password of ecb-acs1$ will generate a proper key.
    and
    While processing an AS request for target service krbtgt, the account stcrye did not have a suitable key for generating a Kerberos ticket (the missing key has an ID of 2). The requested etypes : 18. The accounts available etypes : 23  -133  -128  3  1. Changing or resetting the password of stcrye will generate a proper key.
    This may be related to either clock scew between acs and the domain or introducing server 2008 domain controllers into an existing server 2003 domain. 
    On a desperate hunch, after yet again deleting the ACS object in AD and reloading the ACS, I used the new dedicated ACS user account, but gave it a wrong password. Hit save, watched it fail. Then I put in the correct password, hit save, and it worked! Finall we have re-joined and are connected to the domain.
    BUT ... I have now lost all confidence in ACS 5.3 . We are in the middle of a major rollout of WiFi clients using 802.1x authentitcation, replacing our previous pre-shared WPA setup. We are talking > 20,000 WiFi clients. If ACS <--> AD is not rock-solid, I need to try something else. Should we consider using LDAPS instead?
    Steve

  • Console Access to CPO Server in a different time zone: Verifying Security

    Hello,
    I noticed this on multiple instances, when CPO console in one timezone (example: CST) tries to access a CPO Server in a totally different timezone, the console is not able to login to the CPO Server and I see this error message:
    "An error occurred when verifying security for the message"
    Is there any known issue with console accessing the CPO server and some handshake happening?
    From the logs:
    @@Logging from process Tidal.Automation.Console.Loader.exe(id=13248)
    ||8|2013/03/06 19:20:00.365|13736||||Could not get server ID for assembly store connection:
    ||9|2013/03/06 19:20:00.366|13736||||EXCEPTION (System.ServiceModel.Security.MessageSecurityException): An unsecured or incorrectly secured fault was received from the other party. See the inner FaultException for the fault code and detail.
    Stack Trace:
    Server stack trace:
       at System.ServiceModel.Channels.SecurityChannelFactory`1.SecurityRequestChannel.ProcessReply(Message reply, SecurityProtocolCorrelationState correlationState, TimeSpan timeout)
       at System.ServiceModel.Channels.SecurityChannelFactory`1.SecurityRequestChannel.Request(Message message, TimeSpan timeout)
       at System.ServiceModel.Security.SecuritySessionSecurityTokenProvider.DoOperation(SecuritySessionOperation operation, EndpointAddress target, Uri via, SecurityToken currentToken, TimeSpan timeout)
       at System.ServiceModel.Security.SecuritySessionSecurityTokenProvider.GetTokenCore(TimeSpan timeout)
       at System.IdentityModel.Selectors.SecurityTokenProvider.GetToken(TimeSpan timeout)
       at System.ServiceModel.Security.SecuritySessionClientSettings`1.ClientSecuritySessionChannel.OnOpen(TimeSpan timeout)
       at System.ServiceModel.Channels.CommunicationObject.Open(TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannel.OnOpen(TimeSpan timeout)
       at System.ServiceModel.Channels.CommunicationObject.Open(TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannel.CallOnceManager.CallOnce(TimeSpan timeout, CallOnceManager cascade)
       at System.ServiceModel.Channels.ServiceChannel.EnsureOpened(TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannel.Call(String action, Boolean oneway, ProxyOperationRuntime operation, Object[] ins, Object[] outs, TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannelProxy.InvokeService(IMethodCallMessage methodCall, ProxyOperationRuntime operation)
       at System.ServiceModel.Channels.ServiceChannelProxy.Invoke(IMessage message)
    Exception rethrown at [0]:
       at System.Runtime.Remoting.Proxies.RealProxy.HandleReturnMessage(IMessage reqMsg, IMessage retMsg)
       at System.Runtime.Remoting.Proxies.RealProxy.PrivateInvoke(MessageData& msgData, Int32 type)
       at Tidal.Automation.Common.AssemblyManager.IAssemblyManager.GetServerId()
       at Tidal.Automation.WinForms.Loader.AssemblyStore.GetRequiredFiles()
    ||10|2013/03/06 19:20:00.366|13736||||   INNER EXCEPTION (System.ServiceModel.FaultException): An error occurred when verifying security for the message.
    Stack Trace:
    ||11|2013/03/06 19:20:37.696|13736||||Could not get server ID for assembly store connection:
    ||12|2013/03/06 19:20:37.696|13736||||EXCEPTION (System.ServiceModel.Security.MessageSecurityException): An unsecured or incorrectly secured fault was received from the other party. See the inner FaultException for the fault code and detail.
    Stack Trace:
    Server stack trace:
       at System.ServiceModel.Channels.SecurityChannelFactory`1.SecurityRequestChannel.ProcessReply(Message reply, SecurityProtocolCorrelationState correlationState, TimeSpan timeout)
       at System.ServiceModel.Channels.SecurityChannelFactory`1.SecurityRequestChannel.Request(Message message, TimeSpan timeout)
       at System.ServiceModel.Security.SecuritySessionSecurityTokenProvider.DoOperation(SecuritySessionOperation operation, EndpointAddress target, Uri via, SecurityToken currentToken, TimeSpan timeout)
       at System.ServiceModel.Security.SecuritySessionSecurityTokenProvider.GetTokenCore(TimeSpan timeout)
       at System.IdentityModel.Selectors.SecurityTokenProvider.GetToken(TimeSpan timeout)
       at System.ServiceModel.Security.SecuritySessionClientSettings`1.ClientSecuritySessionChannel.OnOpen(TimeSpan timeout)
       at System.ServiceModel.Channels.CommunicationObject.Open(TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannel.OnOpen(TimeSpan timeout)
       at System.ServiceModel.Channels.CommunicationObject.Open(TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannel.CallOnceManager.CallOnce(TimeSpan timeout, CallOnceManager cascade)
       at System.ServiceModel.Channels.ServiceChannel.EnsureOpened(TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannel.Call(String action, Boolean oneway, ProxyOperationRuntime operation, Object[] ins, Object[] outs, TimeSpan timeout)
       at System.ServiceModel.Channels.ServiceChannelProxy.InvokeService(IMethodCallMessage methodCall, ProxyOperationRuntime operation)
       at System.ServiceModel.Channels.ServiceChannelProxy.Invoke(IMessage message)
    Exception rethrown at [0]:
       at System.Runtime.Remoting.Proxies.RealProxy.HandleReturnMessage(IMessage reqMsg, IMessage retMsg)
       at System.Runtime.Remoting.Proxies.RealProxy.PrivateInvoke(MessageData& msgData, Int32 type)
       at Tidal.Automation.Common.AssemblyManager.IAssemblyManager.GetServerId()
       at Tidal.Automation.WinForms.Loader.AssemblyStore.GetRequiredFiles()
    ||13|2013/03/06 19:20:37.696|13736||||   INNER EXCEPTION (System.ServiceModel.FaultException): An error occurred when verifying security for the message.
    Stack Trace:

    More important than whether their timezones differ is whether their *times* differ.
    12:00 in EST = 9:00 in PST as far as everyone (including Windows) is concerned.
    If both are showing 12:00 then time sync is the problem, and this would cause authentication handshake issues.  NT authentication only allows something like 10 minutes of clock skew.  My example here has 180 minutes of clock skew.

  • F# 4.0 installing error

    hi all,
    when i want to install F# 4.0 i got setup failed error:
    0x800b010a- A certificate chain could not be built to a trusted root authority.
    is F# 4 for use in Visual Studio 2015 preview only?
    i have installed VS 2013 on windows 8.1.
    and i have installed root certificates update.
    here is log details:
    [41FC:65A8][2015-01-18T00:10:22]i001: Burn v3.7.3424.0, Windows v6.3 (Build 9600: Service Pack 0), path: C:\FSharp_Bundle.exe, cmdline: ''
    [41FC:65A8][2015-01-18T00:10:22]i000: Initializing string variable 'NetfxProductVersion' to value '4.5.50709'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'WixBundleLog' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022.log'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'WixBundleOriginalSource' to value 'C:\FSharp_Bundle.exe'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'WixBundleOriginalSourceFolder' to value 'C:\'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'WixBundleName' to value 'Microsoft Visual F# 4.0'
    [41FC:65A8][2015-01-18T00:10:22]i100: Detect begin, 8 packages
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'CustomInstallPath' to value ''
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting numeric variable 'netfxfullredist_43_DetectKey_Exists' to value 1
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'netfxfullredist_43_DetectKey_Release' to value '378675'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'netfxfullredist_43_DetectKey_Version' to value '4.5.51641'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting string variable 'netfxfullredist_Check' to value '4.5.51641'
    [41FC:65A8][2015-01-18T00:10:22]i000: Registry key not found. Key = 'SOFTWARE\Microsoft\VisualStudio\14.0\Setup\VS'; variable = 'VSDIR_DetectKeyExists'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting numeric variable 'VSDIR_DetectKeyExists' to value 0
    [41FC:65A8][2015-01-18T00:10:22]i000: Registry key not found. Key = 'SOFTWARE\Microsoft\VWDExpress\14.0\Setup\VS'; variable = 'VWDDIR_DetectKeyExists'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting numeric variable 'VWDDIR_DetectKeyExists' to value 0
    [41FC:65A8][2015-01-18T00:10:22]i000: Registry key not found. Key = 'SOFTWARE\Microsoft\WDExpress\14.0\Setup\VS'; variable = 'WDDIR_DetectKeyExists'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting numeric variable 'WDDIR_DetectKeyExists' to value 0
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting version variable 'BuildTools_CoreRes_Installed' to value '12.0.21005.0'
    [41FC:65A8][2015-01-18T00:10:22]i000: Setting version variable 'BuildTools_Core_Installed' to value '12.0.21005.0'
    [41FC:65A8][2015-01-18T00:10:22]i052: Condition '(NOT(RelationType = "Patch") AND NOT (WixBundleAction >= 4))' evaluates to false.
    [41FC:65A8][2015-01-18T00:10:22]w120: Detected partially cached package: Msi_BuildTools_MSBuild_Res_x86, invalid payload: Msi_BuildTools_MSBuild_Res_x86, reason: 0x80070570
    [41FC:65A8][2015-01-18T00:10:22]w120: Detected partially cached package: Msi_BuildTools_MSBuild_Res_x86, invalid payload: cab41974C84E43AEE700F28BA7B03AF3FF7, reason: 0x80070570
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: Preparation_Uninstall_FSharp_Bundle, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: FSharpVS, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: FSharpVWD, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: FSharpWD, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: FSharpSDK, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: Msi_BuildTools_MSBuild_x86, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: Msi_BuildTools_MSBuild_Res_x86, state: Absent, cached: Partial
    [41FC:65A8][2015-01-18T00:10:22]i101: Detected package: FSharp_finalizer, state: Absent, cached: None
    [41FC:65A8][2015-01-18T00:10:22]i052: Condition 'netfxfullredist_Check >= v4.5.50709' evaluates to true.
    [41FC:65A8][2015-01-18T00:10:22]i199: Detect complete, result: 0x0
    [41FC:65A8][2015-01-18T00:10:24]i200: Plan begin, 8 packages, action: Install
    [41FC:65A8][2015-01-18T00:10:24]w321: Skipping dependency registration on package with no dependency providers: Preparation_Uninstall_FSharp_Bundle
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleLog_Preparation_Uninstall_FSharp_Bundle' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_000_Preparation_Uninstall_FSharp_Bundle.log'
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleRollbackLog_Preparation_Uninstall_FSharp_Bundle' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_000_Preparation_Uninstall_FSharp_Bundle_rollback.log'
    [41FC:65A8][2015-01-18T00:10:24]i052: Condition 'VSDIR_DetectKeyExists' evaluates to false.
    [41FC:65A8][2015-01-18T00:10:24]i052: Condition 'VWDDIR_DetectKeyExists' evaluates to false.
    [41FC:65A8][2015-01-18T00:10:24]i052: Condition 'WDDIR_DetectKeyExists' evaluates to false.
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleRollbackLog_FSharpSDK' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_001_FSharpSDK_rollback.log'
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleLog_FSharpSDK' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_001_FSharpSDK.log'
    [41FC:65A8][2015-01-18T00:10:24]i052: Condition '(NOT VersionNT64) OR VersionNT64' evaluates to true.
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleRollbackLog_Msi_BuildTools_MSBuild_x86' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_002_Msi_BuildTools_MSBuild_x86_rollback.log'
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleLog_Msi_BuildTools_MSBuild_x86' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_002_Msi_BuildTools_MSBuild_x86.log'
    [41FC:65A8][2015-01-18T00:10:24]i052: Condition '(NOT VersionNT64) OR VersionNT64' evaluates to true.
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleRollbackLog_Msi_BuildTools_MSBuild_Res_x86' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_003_Msi_BuildTools_MSBuild_Res_x86_rollback.log'
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleLog_Msi_BuildTools_MSBuild_Res_x86' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_003_Msi_BuildTools_MSBuild_Res_x86.log'
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleRollbackLog_FSharp_finalizer' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_004_FSharp_finalizer_rollback.log'
    [41FC:65A8][2015-01-18T00:10:24]i000: Setting string variable 'WixBundleLog_FSharp_finalizer' to value 'C:\Users\u\AppData\Local\Temp\dd_FSharp_Bundle_20150118001022_004_FSharp_finalizer.log'
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: Preparation_Uninstall_FSharp_Bundle, state: Absent, default requested: Present, ba requested: Present, execute: Install, rollback: Uninstall, cache: Yes, uncache: No, dependency: None
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: FSharpVS, state: Absent, default requested: Absent, ba requested: Absent, execute: None, rollback: None, cache: No, uncache: No, dependency: None
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: FSharpVWD, state: Absent, default requested: Absent, ba requested: Absent, execute: None, rollback: None, cache: No, uncache: No, dependency: None
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: FSharpWD, state: Absent, default requested: Absent, ba requested: Absent, execute: None, rollback: None, cache: No, uncache: No, dependency: None
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: FSharpSDK, state: Absent, default requested: Present, ba requested: Present, execute: Install, rollback: Uninstall, cache: Yes, uncache: No, dependency: Register
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: Msi_BuildTools_MSBuild_x86, state: Absent, default requested: Present, ba requested: Present, execute: Install, rollback: Uninstall, cache: Yes, uncache: No, dependency: Register
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: Msi_BuildTools_MSBuild_Res_x86, state: Absent, default requested: Present, ba requested: Present, execute: Install, rollback: Uninstall, cache: Yes, uncache: No, dependency: Register
    [41FC:65A8][2015-01-18T00:10:24]i201: Planned package: FSharp_finalizer, state: Absent, default requested: Present, ba requested: Present, execute: Install, rollback: Uninstall, cache: Yes, uncache: No, dependency: Register
    [41FC:65A8][2015-01-18T00:10:24]i299: Plan complete, result: 0x0
    [41FC:65A8][2015-01-18T00:10:24]i300: Apply begin
    [6688:7574][2015-01-18T00:10:25]i360: Creating a system restore point.
    [6688:7574][2015-01-18T00:10:25]i361: Created a system restore point.
    [6688:7574][2015-01-18T00:10:25]i370: Session begin, registration key: SOFTWARE\Microsoft\Windows\CurrentVersion\Uninstall\{0a1f2d3b-7192-4a02-8d55-271feba709c0}, options: 0x7, disable resume: No
    [6688:7574][2015-01-18T00:10:25]i000: Caching bundle from: 'C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\.be\FSharp_Bundle.exe' to: 'C:\ProgramData\Package Cache\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\FSharp_Bundle.exe'
    [6688:7574][2015-01-18T00:10:25]i320: Registering bundle dependency provider: {0a1f2d3b-7192-4a02-8d55-271feba709c0}, version: 14.0.22505.0
    [6688:7574][2015-01-18T00:10:25]i371: Updating session, registration key: SOFTWARE\Microsoft\Windows\CurrentVersion\Uninstall\{0a1f2d3b-7192-4a02-8d55-271feba709c0}, resume: Active, restart initiated: No, disable resume: No
    [41FC:7AAC][2015-01-18T00:10:25]w343: Prompt for source of package: Preparation_Uninstall_FSharp_Bundle, payload: Preparation_Uninstall_FSharp_Bundle, path: C:\packages\FSharp_Bundle\Preparation.exe
    [41FC:7AAC][2015-01-18T00:10:25]i338: Acquiring package: Preparation_Uninstall_FSharp_Bundle, payload: Preparation_Uninstall_FSharp_Bundle, download from: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to send request to URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409, trying to process HTTP status code anyway.
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Unknown HTTP status code 0, returned from URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to send request to URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to connect to URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to get size and time for URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed attempt to download URL: 'http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409' to: 'C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\Preparation_Uninstall_FSharp_Bundle'
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to acquire payload from: 'http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409' to working path: 'C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\Preparation_Uninstall_FSharp_Bundle'
    [41FC:7AAC][2015-01-18T00:10:37]e313: Failed to acquire payload: Preparation_Uninstall_FSharp_Bundle to working path: C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\Preparation_Uninstall_FSharp_Bundle, error: 0x80072ee7.
    [41FC:7AAC][2015-01-18T00:10:37]w350: Applied non-vital package: Preparation_Uninstall_FSharp_Bundle, encountered error: 0x80072ee7. Continuing...
    [6688:6BA8][2015-01-18T00:10:38]i305: Verified acquired payload: FSharpSDK at path: C:\ProgramData\Package Cache\.unverified\FSharpSDK, moving to: C:\ProgramData\Package Cache\{385750C0-AB1D-36E2-AB7A-7849D37B6E6A}v14.0.22505\packages\fsharp\FSharpSDK.msi.
    [6688:6BA8][2015-01-18T00:10:38]i305: Verified acquired payload: cabC2977AB096B310AD565C06A42FC6F86C at path: C:\ProgramData\Package Cache\.unverified\cabC2977AB096B310AD565C06A42FC6F86C, moving to: C:\ProgramData\Package Cache\{385750C0-AB1D-36E2-AB7A-7849D37B6E6A}v14.0.22505\packages\fsharp\FSharp_SDK1.cab.
    [6688:6BA8][2015-01-18T00:10:38]i305: Verified acquired payload: f576FAE3E31CED4ABDBC1CD1DCAD12A71 at path: C:\ProgramData\Package Cache\.unverified\f576FAE3E31CED4ABDBC1CD1DCAD12A71, moving to: C:\ProgramData\Package Cache\{385750C0-AB1D-36E2-AB7A-7849D37B6E6A}v14.0.22505\packages\fsharp\VisualFSharpEula.rtf.
    [6688:6BA8][2015-01-18T00:10:38]i305: Verified acquired payload: Msi_BuildTools_MSBuild_x86 at path: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_x86, moving to: C:\ProgramData\Package Cache\{B3AF991D-2925-4C70-A150-79254447D660}v14.0.22007\packages\BuildTools_MSBuild_x86\BuildTools_MSBuild.msi.
    [6688:6BA8][2015-01-18T00:10:38]i305: Verified acquired payload: cab3404EAED1EB1178AF8283062148E0702 at path: C:\ProgramData\Package Cache\.unverified\cab3404EAED1EB1178AF8283062148E0702, moving to: C:\ProgramData\Package Cache\{B3AF991D-2925-4C70-A150-79254447D660}v14.0.22007\packages\BuildTools_MSBuild_x86\BuildTools1.cab.
    [6688:6BA8][2015-01-18T00:10:38]e000: Error 0x800b010a: Failed authenticode verification of payload: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_Res_x86
    [6688:6BA8][2015-01-18T00:10:38]e000: Error 0x800b010a: Failed to verify signature of payload: Msi_BuildTools_MSBuild_Res_x86
    [6688:6BA8][2015-01-18T00:10:38]e310: Failed to verify payload: Msi_BuildTools_MSBuild_Res_x86 at path: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_Res_x86, error: 0x800b010a. Deleting file.
    [6688:6BA8][2015-01-18T00:10:38]e000: Error 0x800b010a: Failed to cache payload: Msi_BuildTools_MSBuild_Res_x86
    [41FC:7AAC][2015-01-18T00:10:38]e314: Failed to cache payload: Msi_BuildTools_MSBuild_Res_x86 from working path: C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\Msi_BuildTools_MSBuild_Res_x86, error: 0x800b010a.
    [41FC:7AAC][2015-01-18T00:10:38]e349: Application requested retry of payload: Msi_BuildTools_MSBuild_Res_x86, encountered error: 0x800b010a. Retrying...
    [6688:6BA8][2015-01-18T00:10:38]e000: Error 0x800b010a: Failed authenticode verification of payload: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_Res_x86
    [6688:6BA8][2015-01-18T00:10:38]e000: Error 0x800b010a: Failed to verify signature of payload: Msi_BuildTools_MSBuild_Res_x86
    [6688:6BA8][2015-01-18T00:10:38]e310: Failed to verify payload: Msi_BuildTools_MSBuild_Res_x86 at path: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_Res_x86, error: 0x800b010a. Deleting file.
    [6688:6BA8][2015-01-18T00:10:38]e000: Error 0x800b010a: Failed to cache payload: Msi_BuildTools_MSBuild_Res_x86
    [41FC:7AAC][2015-01-18T00:10:38]e314: Failed to cache payload: Msi_BuildTools_MSBuild_Res_x86 from working path: C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\Msi_BuildTools_MSBuild_Res_x86, error: 0x800b010a.
    [41FC:7AAC][2015-01-18T00:10:38]e349: Application requested retry of payload: Msi_BuildTools_MSBuild_Res_x86, encountered error: 0x800b010a. Retrying...
    [6688:6BA8][2015-01-18T00:10:39]e000: Error 0x800b010a: Failed authenticode verification of payload: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_Res_x86
    [6688:6BA8][2015-01-18T00:10:39]e000: Error 0x800b010a: Failed to verify signature of payload: Msi_BuildTools_MSBuild_Res_x86
    [6688:6BA8][2015-01-18T00:10:39]e310: Failed to verify payload: Msi_BuildTools_MSBuild_Res_x86 at path: C:\ProgramData\Package Cache\.unverified\Msi_BuildTools_MSBuild_Res_x86, error: 0x800b010a. Deleting file.
    [6688:6BA8][2015-01-18T00:10:39]e000: Error 0x800b010a: Failed to cache payload: Msi_BuildTools_MSBuild_Res_x86
    [41FC:7AAC][2015-01-18T00:10:39]e314: Failed to cache payload: Msi_BuildTools_MSBuild_Res_x86 from working path: C:\Users\u\AppData\Local\Temp\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\Msi_BuildTools_MSBuild_Res_x86, error: 0x800b010a.
    [6688:6BA8][2015-01-18T00:10:39]i351: Removing cached package: Msi_BuildTools_MSBuild_x86, from path: C:\ProgramData\Package Cache\{B3AF991D-2925-4C70-A150-79254447D660}v14.0.22007\
    [6688:6BA8][2015-01-18T00:10:39]i351: Removing cached package: FSharpSDK, from path: C:\ProgramData\Package Cache\{385750C0-AB1D-36E2-AB7A-7849D37B6E6A}v14.0.22505\
    [6688:6BA8][2015-01-18T00:10:39]i351: Removing cached package: Preparation_Uninstall_FSharp_Bundle, from path: C:\ProgramData\Package Cache\54D52E60-BE3C-3B8B-A3FC-3ABA8A1960B9\
    [6688:6BA8][2015-01-18T00:10:39]w353: Unable to remove cached package: Preparation_Uninstall_FSharp_Bundle, from path: C:\ProgramData\Package Cache\54D52E60-BE3C-3B8B-A3FC-3ABA8A1960B9\, reason: 0x80070003. Continuing...
    [41FC:65A8][2015-01-18T00:10:39]e000: Error 0x800b010a: Failed while caching, aborting execution.
    [6688:7574][2015-01-18T00:10:39]i372: Session end, registration key: SOFTWARE\Microsoft\Windows\CurrentVersion\Uninstall\{0a1f2d3b-7192-4a02-8d55-271feba709c0}, resume: None, restart: None, disable resume: No
    [6688:7574][2015-01-18T00:10:39]i330: Removed bundle dependency provider: {0a1f2d3b-7192-4a02-8d55-271feba709c0}
    [6688:7574][2015-01-18T00:10:39]i352: Removing cached bundle: {0a1f2d3b-7192-4a02-8d55-271feba709c0}, from path: C:\ProgramData\Package Cache\{0a1f2d3b-7192-4a02-8d55-271feba709c0}\
    [6688:7574][2015-01-18T00:10:39]i371: Updating session, registration key: SOFTWARE\Microsoft\Windows\CurrentVersion\Uninstall\{0a1f2d3b-7192-4a02-8d55-271feba709c0}, resume: None, restart initiated: No, disable resume: No
    [41FC:65A8][2015-01-18T00:10:39]i399: Apply complete, result: 0x800b010a, restart: None, ba requested restart:  No

    There seems to be a whole cascade of issues here.  This bit doesn't look at all healthy to me:
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to send request to URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409, trying to process HTTP status code anyway.
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Unknown HTTP status code 0, returned from URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    [41FC:7AAC][2015-01-18T00:10:37]e000: Error 0x80072ee7: Failed to send request to URL: http://go.microsoft.com/fwlink/?LinkId=451280&clcid=0x409
    And I just realised that, given that your post was made at 21:15UTC on 2015-01-17 (implying 00:00 on the 18th in the reported time would be before 10:38UTC on the 17th, which means no timezone on Earth), to be showing the timestamps you do, you have some
    massive clock skew, which would surely not be helping matters.

Maybe you are looking for

  • New ITUNES Does not allow me to sync and wiped out song on my ipod video

    I was prompted to update my ITUNES software (7.02 I believe). Once I did this, it told me that I needed to update my Quick Time Software, which I also did. I did as I was told and took my IPOD Video out on my walk. It deleted every song on my out exc

  • Help with exporting to an Excel spreadsheet

    Greetings! I would first like to say that I am a student working on a data acquisition system for a company I have an internship with.  I have used LabVIEW in classes a lot but have very limited experience in creating VIs on my own (this is the first

  • Hdiutil and creation of more then one partition in a dmg and sparse image?

    Hello, My main question, after reading the man page and googling, I can't find any way to create a DMG file with more then one partition. Is this even possible? My finaly goal is to attach those with -mountRandom and - nobrowse from inside a cocoa.ap

  • Blank PDFs in Linux with [acroread] defunct Adobe Reader 9.4

    I am having an issue on a SuSE 11 sp1 box where opening a pdf after closing one gives me a blank screen. a ps -ef | grep acro* shows [acroread]<defunct> for the acroread process that was running. I noticed that I can open & close as many as 20 pdfs f

  • DB2 Memory High in SAP

    Hi Team, We have allocated 82 GB memory for SAP production database DB2. Database always occupied more than 98% always. Please let us know which db2 process occupied more memory. topas_nmon──.=OnlyBusyMode─────Host=huxp0012───────Refresh=2 secs───08: