Reg : Simulation in FB01

Hi,
We have Custom program to post the document in FB01 using BDC.User asked us to show the data in ALV before posting the document like simulation in FB01. We have documents in the internal table before passing the data into BDC program.?
Is it possible to simulate the document like in FB01 Simulation.? Is it there any function module to simulate the FI doucuments.?
Thanks in Adavance
P.Senthil Kumar

Hi Thomas,
Is this function module(BAPI_ACC_DOCUMENT_CHECK) act like simulation in FB01.My requirement is that in selection screen, i want to add "Test Run Only" option,If user selected this one then i want to show the required details for posting and simulation of posting data but actual posting should not happen when i executing this program with test run.
Actually this custom program already created by some one which has BDC program to post the document.so i need to add one option test run.
Layout should be display the following fields (Layout data should have both credit and debit entries)
Documnet Number   (test Run)     
Reference             BKPF-XBLNR
Company Code     BSEG-BUKRS     
Item             BSEG-BUZEI
Currency             BKPF-WAERS
Posting key             BSEG-BSCHL
Account             BSEG-HKONT
Amount              BSEG-WRBTR
Material             BSEG-MATNR
Quantity             BSEG-MENGE
Trading Partner     BSEG-VBUND     
Cost Centre             BSEG-KOSTL
Profit Center            BSEG-PRCTR
Functional Area   BSEG-FKBER     
Sales order         BSEG-VBEL2
Regards
P.Senthil Kumar
Edited by: senthil kumar on Mar 11, 2010 12:02 PM
Edited by: senthil kumar on Mar 11, 2010 12:03 PM
Edited by: senthil kumar on Mar 11, 2010 2:19 PM

Similar Messages

  • Simulation of FB01/F-43 (Before posting) available?

    Hi All,
    I'm uploading a file to post vendor documents via FM "POSTING_INTERFACE_DOCUMENT". This is called from a custom program. In short, the logic is to loop at file data and call this FM in the loop to post vendor documents. Every line of the file is one FI document.
    Now before I execute this loop, I want to simulate FB01/F-43 on the same data before its actually posted to check if every line of the file will post a document or not. The requirement is that if one line is in error, do not post any documents at all for that particular file. The business then goes back and corrects the file and sends it back again. As the file contains a lot of fields, I find it impossible to validate each & every field for numerous scenarios. SAP might throw something out even if I validate as many data validations I can think of! I don't want to go into unforseen scenarios.
    I searched the system and could not find any simulation FM/BAPI with regards to FI document. Can this be done at all? I know this is possible in case of SD sales orders. Any input is highly appreciated. Cheers all.
    Thanks and regards.

    HI syd,
    I think, there's no fm.
    the only possibility i see is to make
    a call transaction of fb01 in mode 'E'
    with fcode BS (=simulate)
    and finally RW (=back)
    And than analyse errortab, which you get back from call TA.
    regards Andreas

  • Reg:Simulation version key issue

    Hi,
    I am using simulation version key as SIM+++ .But as per document given below:-
    The valid version numbers for simulation version can be entered in Customizing.
    You can use the formatting characters + and *:
         + means exactly one character
          If you enter SIM+++ the maximum length of the version number is restricted to 6 characters.
    is a character string  of no defined length at the end of the version number (up to the maximum length of 12 characters).*
    If version numbers for simulations have been entered in Customizing, then you can only create versions that correspond with one of these entries
    but when i am creating Simulation version SIMAA3 then also system is accepting whereas as per above document + sign is for character and not for digit.
    So Kindly guide me in resolving this issue.
    With Regards
    Rohit Prakash

    Project Version is snapshot/photo of project, you cant change it. It is generally taken to record the exact project status at that point of time.
    Simulation version is used to simulate 'if then' conditions.Generally used during quotation phase or if you want see effect of particular event on project you can create simulation version see the effect and transfer back to operative or delete.
    Project Version is only for info purpose and cant be transfered anywhere. Simulation version can be transfered to operative project.
    1. http://help.sap.com/saphelp_erp60_sp/helpdata/en/d8/1fe8344d1d166be10000009b38f83b/frameset.htm
    2. http://help.sap.com/saphelp_erp60_sp/helpdata/en/0b/ba853488601e33e10000009b38f83b/frameset.htm
    Regards

  • REG: Simulation of FM 'Posting_Inetrface_ocument'

    Hi,
    Is there any procedure to simulate the function module POSTING_INTERFACE_DOCUMENT. When this is executed it should check  whether a document gets posted successfully but should NOT create a document.
    Regards,
    Bhanu

    HI syd,
    I think, there's no fm.
    the only possibility i see is to make
    a call transaction of fb01 in mode 'E'
    with fcode BS (=simulate)
    and finally RW (=back)
    And than analyse errortab, which you get back from call TA.
    regards Andreas

  • Reg.Simulation entry missing from configuration

    Dear Experts
    I am newly configure in IDES system,in which I create Inventory A/c,Consumption account,GR/IR a/c,Inv offsetting a/c,Inc/Dec a/c,Cash payable on purchase a/c ,Gain loss revaluation a/c and Loss in exchange rate a/c  and configured in OBYC ,but in OMWB->Simulation all assigned are missing -
          Posting line text                     PK      Acct Deb     PK     Acct.Cr
         Inventory Posting                     83      Missing    93      Missing
    Pls help me where I have missed to assign the G/L Account.And how to configure for come in OMWB settings.
    Thanks
    Rajakumar.K

    Please maintain GL Codes against Gen Modifier/Valuation Class in OBYC settings.
    Regards,
    Alok

  • Problems fidccp02 with objects PA

    hii !!
    we are using idoc fidccp02 to create a financial document simulating tcode FB01, this idoc is sent receives through XI
    at the moment financial documents through the interface have been created but have a problem when the account needs an object PA, marks to error of Account 41000000 requires to us an assignment to CO object
    we verify the configuration of the account the allocation of the centers of costs and centers of benefits but this correct.
    with the data that idoc sends I create a financial document by fb01 of way manual and of that form there is no error.
    something idea.

  • Reg: can anyone plz help me finding a badi for FB01

    hi..,
       My requirement is to capture the profit center & change it w.r.to the profit center available in purchase order while posting a documet in FB01. iam trying to find a badi for this.. can anyone plz suggest me, how to proceed??? this is the first time iam dealing with badi's...

    just run this program u find badi related to transaction.
    *& Report  ZNEGI16                                                     *
    REPORT  ZNEGI16                                 .
    TABLES : TSTC,
    TADIR,
    MODSAPT,
    MODACT,
    TRDIR,
    TFDIR,
    ENLFDIR,
    SXS_ATTRT ,
    TSTCT.
    DATA : JTAB LIKE TADIR OCCURS 0 WITH HEADER LINE.
    DATA : FIELD1(30).
    DATA : V_DEVCLASS LIKE TADIR-DEVCLASS.
    PARAMETERS : P_TCODE LIKE TSTC-TCODE,
    P_PGMNA LIKE TSTC-PGMNA .
    DATA wa_tadir type tadir.
    START-OF-SELECTION.
    IF NOT P_TCODE IS INITIAL.
    SELECT SINGLE * FROM TSTC WHERE TCODE EQ P_TCODE.
    ELSEIF NOT P_PGMNA IS INITIAL.
    TSTC-PGMNA = P_PGMNA.
    ENDIF.
    IF SY-SUBRC EQ 0.
    SELECT SINGLE * FROM TADIR
    WHERE PGMID = 'R3TR'
    AND OBJECT = 'PROG'
    AND OBJ_NAME = TSTC-PGMNA.
    MOVE : TADIR-DEVCLASS TO V_DEVCLASS.
    IF SY-SUBRC NE 0.
    SELECT SINGLE * FROM TRDIR
    WHERE NAME = TSTC-PGMNA.
    IF TRDIR-SUBC EQ 'F'.
    SELECT SINGLE * FROM TFDIR
    WHERE PNAME = TSTC-PGMNA.
    SELECT SINGLE * FROM ENLFDIR
    WHERE FUNCNAME = TFDIR-FUNCNAME.
    SELECT SINGLE * FROM TADIR
    WHERE PGMID = 'R3TR'
    AND OBJECT = 'FUGR'
    AND OBJ_NAME EQ ENLFDIR-AREA.
    MOVE : TADIR-DEVCLASS TO V_DEVCLASS.
    ENDIF.
    ENDIF.
    SELECT * FROM TADIR INTO TABLE JTAB
    WHERE PGMID = 'R3TR'
    AND OBJECT in ('SMOD', 'SXSD')
    AND DEVCLASS = V_DEVCLASS.
    SELECT SINGLE * FROM TSTCT
    WHERE SPRSL EQ SY-LANGU
    AND TCODE EQ P_TCODE.
    FORMAT COLOR COL_POSITIVE INTENSIFIED OFF.
    WRITE:/(19) 'Transaction Code - ',
    20(20) P_TCODE,
    45(50) TSTCT-TTEXT.
    SKIP.
    IF NOT JTAB[] IS INITIAL.
    WRITE:/(105) SY-ULINE.
    FORMAT COLOR COL_HEADING INTENSIFIED ON.
    * Sorting the internal Table
    sort jtab by OBJECT.
    data : wf_txt(60) type c,
    wf_smod type i ,
    wf_badi type i ,
    wf_object2(30) type C.
    clear : wf_smod, wf_badi , wf_object2.
    * Get the total SMOD.
    LOOP AT JTAB into wa_tadir.
    at first.
    FORMAT COLOR COL_HEADING INTENSIFIED ON.
    WRITE:/1 SY-VLINE,
    2 'Enhancement/ Business Add-in',
    41 SY-VLINE ,
    42 'Description',
    105 SY-VLINE.
    WRITE:/(105) SY-ULINE.
    endat.
    clear wf_txt.
    at new object.
    if wa_tadir-object = 'SMOD'.
    wf_object2 = 'Enhancement' .
    elseif wa_tadir-object = 'SXSD'.
    wf_object2 = ' Business Add-in'.
    endif.
    FORMAT COLOR COL_GROUP INTENSIFIED ON.
    WRITE:/1 SY-VLINE,
    2 wf_object2,
    105 SY-VLINE.
    endat.
    case wa_tadir-object.
    when 'SMOD'.
    wf_smod = wf_smod + 1.
    SELECT SINGLE MODTEXT into wf_txt
    FROM MODSAPT
    WHERE SPRSL = SY-LANGU
    AND NAME = wa_tadir-OBJ_NAME.
    FORMAT COLOR COL_NORMAL INTENSIFIED OFF.
    when 'SXSD'.
    * For BADis
    wf_badi = wf_badi + 1 .
    select single TEXT into wf_txt
    from SXS_ATTRT
    where sprsl = sy-langu
    and EXIT_NAME = wa_tadir-OBJ_NAME.
    FORMAT COLOR COL_NORMAL INTENSIFIED ON.
    endcase.
    WRITE:/1 SY-VLINE,
    2 wa_tadir-OBJ_NAME hotspot on,
    41 SY-VLINE ,
    42 wf_txt,
    105 SY-VLINE.
    AT END OF object.
    write : /(105) sy-ULINE.
    ENDAT.
    ENDLOOP.
    WRITE:/(105) SY-ULINE.
    SKIP.
    FORMAT COLOR COL_TOTAL INTENSIFIED ON.
    WRITE:/ 'No.of Exits:' , wf_smod.
    WRITE:/ 'No.of BADis:' , wf_badi.
    ELSE.
    FORMAT COLOR COL_NEGATIVE INTENSIFIED ON.
    WRITE:/(105) 'No userexits or BADis exist'.
    ENDIF.
    ELSE.
    FORMAT COLOR COL_NEGATIVE INTENSIFIED ON.
    WRITE:/(105) 'Transaction does not exist'.
    ENDIF.
    AT LINE-SELECTION.
    data : wf_object type tadir-object.
    clear wf_object.
    GET CURSOR FIELD FIELD1.
    CHECK FIELD1(8) EQ 'WA_TADIR'.
    read table jtab with key obj_name = sy-lisel+1(20).
    move jtab-object to wf_object.
    case wf_object.
    when 'SMOD'.
    SET PARAMETER ID 'MON' FIELD SY-LISEL+1(10).
    CALL TRANSACTION 'SMOD' AND SKIP FIRST SCREEN.
    when 'SXSD'.
    SET PARAMETER ID 'EXN' FIELD SY-LISEL+1(20).
    CALL TRANSACTION 'SE18' AND SKIP FIRST SCREEN.
    endcase.

  • Reg:Operative and simulation version comparison in cn41

    Hi,
    I am doing comparison of date between Operative project and Simulation project(cn41) .But all the object are displaying row wise in single column
    Project Object                                      Ear Start                        Ear Finish
    Activity1(operative)                                  11.03.2011                        16.03.2011
    Activity1(Simulation)                                 20.03.2011                       15.03.2011
    But I want it to display like this(Both operative and simulation separately)
    Project Object       Ear Start(Op)          Ear Finish(Op)  Ear Start(Si)         Ear Finish(Si)
    Activity1(operative)   
    Activity1(Simulation)                                                   
    How it can be possible.Can Any body give some idea and way to resolve this issue.
    With Regards
    Rohit Prakash
    Edited by: rohitSAPCOMMUNITY on Mar 15, 2011 6:16 AM

    hi sophie,
    create separate network for each wbs in project.  see if this can solve your issue.
    vengaiah chowdary

  • Reg. No G/L account assign in simulation entry

    Dear Experts
    I configured Account assignment,After that I checked in OMWB -Simulation ,the PK Acct.Dr and PK Acct Cr. is missing for all account like inventory,Gain/Loss etc..
    Where I have missed to assign the G/L account fo come in OMWB Simulation check. Pls advise as soon as possible
    In OBYC I configured all required accounts. then where to assign too.
    Thanks
    Rajakumar.

    I have maintained BSX,GBB and WRX ,even these doesn't assign in PK Acct DR and PK Acct CR.
    Pls advise at the earliest.
    Thanks
    Rajakumar.K

  • Need of ARINC 429 Simulator-reg

    Hi,
    what is the need of ARINC 429 simulator? What does it will do
    whether encode or decode?
    Please give me detaied information in this regard if anyone knows
    about it so that i'll be thankful to you.
    Thanks and regards
    K. Bhogasena reddy

    I'm not sure quite what your after but there's a Arinc 429 tutorial here:
    http://www.aim-online.com/databus_tutorials.aspx
    it may help you.
    Ian

  • Reg FB01 posting

    Hi,
         when i am posting a g/l account through FB01 T-CODE, i am facing a n error that  <b>there is no item category mainatained for that account</b>,,please help me.
    regards.
    venkat.

    Hi Venkat
    Please check the settings under GLA new -> BT->DS->extended DS->Define BT variants
    Asha

  • Reg. BAPI for FB01

    Hi Friends,
       I tried to create accounting document from the babi 'BAPI_ACC_DOCUMENT_POST'. In a one line item i need to give withholding tax information. How to pass this value to this bapi. I passed the withholding tax info in the ACCOUNTTAX parameter. But that is not working.
    thanks in advance.
    regards
    sritkanth

    go through this code
    data:gt_accountgl type table of bapiacgl09 with header line,
         gt_accountpayable type table of bapiacap09 with header line,
         gt_currencyamount type table of bapiaccr09 with header line,
         gt_return type table of bapiret2 with header line.
    data:wa_documentheader type bapiache09,
           vcounter type i.
    tables:bdcmsgcoll.
      TABLE TO READ FILE DATA
    data:   begin of gt_uploadfile occurs 0,
           input(200) type c,
           end of gt_uploadfile,
             TABLE FOR DOWNLOAD FILE
           begin of gt_downloadfile occurs 0,
            output type string,
           end of gt_downloadfile,
         TO HOLD HEADER DATA
           begin of gt_header occurs 0,
           counter(1),
           identifier(2),
           bukrs(5),
           accnt(10),
           bldat like sy-datum,
           budat like sy-datum,
           wrbtr(10),
           end of gt_header,
           begin of gt_item occurs 0,
           counter(1),
           identifier(2),
           hkont(10),
           wrbtr(10),
           kostl(10),
           end of gt_item,
        INTERNAL TABLE TOI DISPLAY ERRORS.
          begin of gt_summery occurs 0,
          identifier(2),
          bukrs(5),
          accnt(10),
          msgv1 like bdcmsgcoll-msgv2,
          msgv2 like bdcmsgcoll-msgv2,
          msgnr type string,
          end of gt_summery,
          v_upload type string,
          v_download type string,
          msg type string,
          v_hkont type string,
          v_kostl type string,
          date1 type datum,
          date2 type datum,
    v_refkey like   bapiache09-obj_key.
    *CREATING SELECTION CREITERIA
    parameters:p_gfile like ibipparms-path,
               p_test as checkbox.
              P_DFILE LIKE IBIPPARMS-PATH.
    at selection-screen on value-request for p_gfile.
      perform f4help_upload.
    *AT SELECTION-SCREEN ON VALUE-REQUEST FOR P_DFILE.
    PERFORM F4HELP_DOWNLOAD.
    start-of-selection.
      v_upload = p_gfile.
    V_DOWNLOAD = P_DFILE.
      perform uploadfile.
      perform  sendinto_head_item.
      perform buildbapi.
    end-of-selection.
      perform disp_report.
    *&      Form  F4HELP
          text
    -->  p1        text
    <--  p2        text
    form f4help_upload .
      call function 'F4_FILENAME'
       exporting
      PROGRAM_NAME        = SYST-CPROG
      DYNPRO_NUMBER       = SYST-DYNNR
         field_name          = 'P_GFILE'
       importing
         file_name           = p_gfile
    endform.                                                    " F4HELP
    *&      Form  F4HELP_DOWNLOAD
          text
    -->  p1        text
    <--  p2        text
    form f4help_download .
    CALL FUNCTION 'F4_FILENAME'
      EXPORTING
      PROGRAM_NAME        = SYST-CPROG
      DYNPRO_NUMBER       = SYST-DYNNR
        FIELD_NAME          = 'P_DFILE'
      IMPORTING
        FILE_NAME           = P_DFILE
    endform.                    " F4HELP_DOWNLOAD
    *&      Form  UPLOADFILE
          text
    -->  p1        text
    <--  p2        text
    form uploadfile .
      call function 'GUI_UPLOAD'
        exporting
          filename                      = v_upload
      FILETYPE                      = 'ASC'
    HAS_FIELD_SEPARATOR           = '|'
      HEADER_LENGTH                 = 0
      READ_BY_LINE                  = 'X'
      DAT_MODE                      = ' '
      CODEPAGE                      = ' '
      IGNORE_CERR                   = ABAP_TRUE
      REPLACEMENT                   = '#'
      CHECK_BOM                     = ' '
      VIRUS_SCAN_PROFILE            = VIRUS_SCAN_PROFILE
      NO_AUTH_CHECK                 = ' '
    IMPORTING
      FILELENGTH                    = FILELENGTH
      HEADER                        = HEADER
        tables
          data_tab                      = gt_uploadfile
       exceptions
         file_open_error               = 1
         file_read_error               = 2
         no_batch                      = 3
         gui_refuse_filetransfer       = 4
         invalid_type                  = 5
         no_authority                  = 6
         unknown_error                 = 7
         bad_data_format               = 8
         header_not_allowed            = 9
         separator_not_allowed         = 10
         header_too_long               = 11
         unknown_dp_error              = 12
         access_denied                 = 13
         dp_out_of_memory              = 14
         disk_full                     = 15
         dp_timeout                    = 16
         others                        = 17
      if sy-subrc <> 0.
    MESSAGE ID SY-MSGID TYPE SY-MSGTY NUMBER SY-MSGNO
            WITH SY-MSGV1 SY-MSGV2 SY-MSGV3 SY-MSGV4.
      endif.
    endform.                    " UPLOADFILE
    *&      Form  SENDINTO_HEAD_ITEM
          text
    -->  p1        text
    <--  p2        text
    form sendinto_head_item .
      loop at gt_uploadfile.
        if gt_uploadfile-input+0(1) = '1'.
          split gt_uploadfile-input at cl_abap_char_utilities=>horizontal_tab
          into
                gt_header-counter
                gt_header-identifier
                gt_header-bukrs
                gt_header-accnt
                gt_header-bldat
                gt_header-budat
                gt_header-wrbtr.
          append gt_header.
          clear gt_header.
        else.
          split gt_uploadfile-input at cl_abap_char_utilities=>horizontal_tab
       into   gt_item-counter
              gt_item-identifier
              gt_item-hkont
              gt_item-wrbtr
              gt_item-kostl.
          append gt_item.
          clear gt_item.
        endif.
       BREAK-POINT.
      endloop.
    endform.                    " SENDINTO_HEAD_ITEM
    *&      Form  BUILDBAPI
          text
    -->  p1        text
    <--  p2        text
    form buildbapi .
      loop at gt_header.
       GT_HEADER-BUDAT = sy-datum - 5.
       GT_HEADER-BLDAT = sy-datum.
        vcounter = 1.
        gt_header-wrbtr = gt_header-wrbtr  * -1.
    *FILLING INPORTING PARAMETER STRUCTURE.
        wa_documentheader-comp_code = gt_header-bukrs.
        wa_documentheader-doc_date = gt_header-bldat.
        wa_documentheader-pstng_date = gt_header-budat.
        wa_documentheader-username = sy-uname.
        wa_documentheader-bus_act = 'RFBU'.
        wa_documentheader-doc_type = 'KR'.
        gt_accountpayable-itemno_acc = vcounter.
        gt_accountpayable-vendor_no =  gt_header-accnt.
        append gt_accountpayable.
        clear gt_accountpayable.
        gt_currencyamount-itemno_acc = vcounter.
        gt_currencyamount-currency = 'INR'.
        gt_currencyamount-amt_doccur = gt_header-wrbtr.
        append gt_currencyamount.
        clear gt_currencyamount.
        loop at gt_item where identifier = gt_header-identifier.
          vcounter = vcounter + 1.           .
          gt_accountgl-itemno_acc = vcounter.
          call function 'CONVERSION_EXIT_ALPHA_INPUT'
            exporting
              input  = gt_item-hkont
            importing
              output = gt_item-hkont.
          gt_accountgl-gl_account = gt_item-hkont.
          call function 'CONVERSION_EXIT_ALPHA_INPUT'
            exporting
              input  = gt_item-kostl
            importing
              output = gt_item-kostl.
          gt_accountgl-costcenter = gt_item-kostl.
          gt_accountgl-pstng_date = gt_header-budat.
          append gt_accountgl.
          clear gt_accountgl.
          gt_currencyamount-itemno_acc = vcounter.
          gt_currencyamount-currency = 'INR'.
          gt_currencyamount-amt_doccur = gt_item-wrbtr.
          append gt_currencyamount.
          clear gt_currencyamount.
        endloop.
        call function 'BAPI_ACC_DOCUMENT_POST'
          exporting
            documentheader          = wa_documentheader
          CUSTOMERCPD             = CUSTOMERCPD
          CONTRACTHEADER          = CONTRACTHEADER
         importing
          OBJ_TYPE                = OBJ_TYPE
           obj_key                 =  v_refkey
          OBJ_SYS                 = OBJ_SYS
          tables
           accountgl               = gt_accountgl
          ACCOUNTRECEIVABLE       = ACCOUNTRECEIVABLE
           accountpayable          = gt_accountpayable
          ACCOUNTTAX              = ACCOUNTTAX
            currencyamount          = gt_currencyamount
          CRITERIA                = CRITERIA
          VALUEFIELD              = VALUEFIELD
          EXTENSION1              = EXTENSION1
            return                  = gt_return
          PAYMENTCARD             = PAYMENTCARD
          CONTRACTITEM            = CONTRACTITEM
          EXTENSION2              = EXTENSION2
          REALESTATE              = REALESTATE
        break-point.
        if p_test is initial.
          call function 'BAPI_TRANSACTION_COMMIT'
    EXPORTING
      WAIT          = WAIT
    IMPORTING
      RETURN        = RETURN
        endif.         .
      endloop.
    endform.                    " BUILDBAPI
    *&      Form  disp_report
          text
    -->  p1        text
    <--  p2        text
    form disp_report .
      loop at gt_return.
        write:/ gt_return-type,
       gt_return-id,
       gt_return-number,
       gt_return-message,
       gt_return-log_no,
       gt_return-log_msg_no,
       gt_return-message_v1,
       gt_return-message_v2,
       gt_return-message_v3,
       gt_return-message_v4,
       gt_return-parameter,
       gt_return-row,
       gt_return-field,
       gt_return-system.
      endloop..
    endform.                    " disp_report
    reward points if helpful........

  • Reg. FI entry in OMWB - Simulation entry

    Dear Experts
    I have configured newly in IDES system for Account determination. And saw my configuration in IDES  OMWB like the below
    Plant           1001    -> Company Code    NCCP  -> Chart of Accounts    NCCA
                                  -> Valuation Area     1001  -> Valuation Grpg Code  1000
    Material         DYEDCTNLACE                    -> Valuation Class      9101
                                                                         Material Type   ACCE ->
    Movement Type    101       GR goods receipt
    Posting Lines Text                    VlGCd   AGC   VCl     PK       Acct Deb.         PK      Acct Cr.
    Gain/loss from revaluation         1000                9101    83     --Missing-            93      --Missing-
    Inventory posting                        -e-         -e-     9101    89     --Missing-            99     --Missing-
    Incidental costs of external a     1000        -e-    9101     86     --Missing-            96     --Missing-
    External activity                        1000        -e-    9101    86      --Missing-            96     --Missing-
    Change in stock account           1000        -e-    9101    81      --Missing-            91    --Missing-
    Purchase offsetting account       1000        -e-    9101    40      --Missing-            50    --Missing-
    Purchase account                     1000        -e-    9101    40      --Missing-            50    --Missing-
    Materials management exch.rate1000                9101    83     --Missing-             93    --Missing-
    Cost (price) differences              1000                9101    86     --Missing-             96    --Missing-
    GR/IR clearing account               -e-          -e-     9101    86    --Missing-             96    --Missing-
    Inventory posting                        -e-          -e-     9101    89    --Missing-
    But I have configured Stock account(BSX),consumption accountGBB-VBR,VBO,VBK,ZOB,ZBO,VAX AND ZOF, GR/IR account WRX.Cash payable in purchase account,Inc/Dec account,Inv offsetting account.
    And where to assign these account to fill the above missing. For Example,ref below that I took from our development.
                                                                                    Plant           1001  -> Company Code    NCCP  -> Chart of Accounts    NCCA   
                           -> Valuation area  1001  -> Valuation Grpg Code  1000                                                                               
    Material         BUTTTERFLY-N                   -> Valuation Class      9116  
                                                                        Material Type        ACCE ->                                                                               
    Movement Type    101       GR goods receipt                                                                               
    Posting Lines Text                         VlGCd        AGC      VCl      PK     Acct D      PK      Acct Cr    
    Gain/loss from revaluation                  -e-            -e-         -e-       83     313003      93       313003     
    Inventory posting                               -e-            -e-        9116     89     221616      99       221616     
    Incidental costs of external a            1000           -e-        9116     86   --Missing-    96     --Missing- 
    External activity                                 -e-            -e-        9116     86   --Missing-    96     --Missing- 
    Change in stock account                    -e-            -e-        9116     81   --Missing-    91     --Missing- 
    Purchase offsetting account                -e-            -e-          -e-      40   --Missing-    50     --Missing- 
    Purchase account                              -e-            -e-          -e-      40    --Missing-   50     --Missing- 
    Materials management exch.rate         -e-            -e-          -e-      83      491103    93       313002     
    Cost (price) differences                       -e-            -e-                    86      313003    96       313003     
    GR/IR clearing account                       -e-            -e-        9116     86      504020    96       504020     
    Inventory posting                                 -e-            -e-       9116      89      221616                                                                               
    Pls help immediately
    Thansk
    Rajakumar.K

    Please maintain GL Codes against Gen Modifier/Valuation Class in OBYC settings.
    Regards,
    Alok

  • Reg:Notes for simulation to operative

    Hi,
    I am getting run time error during Version---->operative data transfer...Can anybody suggests some of the notes applicable for it.
    These are the details:-
    Runtime Errors                    SAPSQL_INVALID_FIELDNAME          
    Except.                    CX_SY_DYNAMIC_OSQL_SEMANTICS          
    Date and Time                    16.05.2011     17:08:18     
    *What happened?                         *
    *     Error in the ABAP Application Program                    *
    *     The current ABAP program "SAPLCOLA" had to be terminated because it has                    *
    *     come across a statement that unfortunately cannot be executed.                    *
    *Error analysis                         *
    *     An exception occurred that is explained in detail below.                    *
    *     The exception, which is assigned to class 'CX_SY_DYNAMIC_OSQL_SEMANTICS', was                    *
    *      not caught in                    *
    *     procedure "CO_LA_OPER_SEQ_PRE_READ_DB_VER" "(FUNCTION)", nor was it propagated                    *
    *      by a RAISING clause.                    *
    *     Since the caller of the procedure could not have anticipated that the                    *
    *     exception would occur, the current program is terminated.                    *
    *     The reason for the exception is:                    *
    *     An Open SQL clause was specified dynamically. The contained field name                    *
    *     TPLNR does not exist in any of the database tables from the FROM clause.     *     
    With Regards
    Rohit

    Dear Rohit,
    Please find the Note 1319565 - Dump in transaction CJV4.
    Ask your abaper to append a field to the table VSAFVC_CN. Please check the note for more details.
    Best Regards,
    Punith.

  • HELP REG Java NEtwork Simulator

    HI,
    This is Ashwin from India.
    I need some tutorials and examples regarding jns...kindly help me by sending their links...

    Ashwintk wrote:
    I HAV DOWNLOADED JNS.....
    BT DON'T KNOW WER 2 START.....HOW 2 START....
    SO PLS HELP!!!!!You really expect free support on every single product ever written in Java? Good luck with that

Maybe you are looking for

  • How can I test a JSF application?

    Hi all, I'm beginner in JSF applications. I'd like to know how could I to do a little project using JSF. Does anyone send me a link with that steps? So, for example, I tried this: http://myfaces.apache.org/risamples.html But it does not run! I got th

  • External display no longer works after Mavericks install

    I have a minidisplay port to DVI adapter that connects my Macbook Pro to my television through HDMI port (cable is HDMI to DVI). This has worked fine for over 2 years, but as soon as I upgraded my Leopard OS to Mavericks, it stopped working. A side t

  • Oracle Data Source for Essbase

    Hi, Good Morning to ALL, I have a doubt using Oracle Data Source with Essbase. When we use Oracle as the Data Source to load the data into Essbase cubes which of the following options would be better? 1. Is it better to create a single table or view,

  • Help in admin query!!!

    how can i get table names exist in my schema HR by query if there is such documentation from where i can get information about such kind of queries please help me...........

  • EJB and WebLogic

    I am quite new to weblogic. I created very simple application, stockonline using ejb in weblogic. The compilation and package were OK. But when I deploy ejb jar name stockonline-core-1.0.jar in weblogic, I always got the same error Exception:weblogic