Sending text / image to bluetooth device from PC to mobile

im developing a java application whereby it will send text file and also an image files from a PC to mobile using bluetooth technology.
can someone show me how i can send the file in parallel to more than one mobile per time without having to wait the receiver to accept or reject the message which was sent to them???
pls help :( thx in advance :)

Hi Tapan,
well, if I would have to do that..... I would at least need Sybase to keep development low. at the end you do not really need Sybase for this... but without SUP development effort is really high.
Okay, in short:
each device has its wn dedicated listener concept for push messages. This is platform dependend and you need to develop a native solution for this. It is possible, but it takes some time.
If you want to be native, with Sybase you get this more or less for free. Issue here: Sybase does only support push notifications out of the box in the most complex scenario: therefore you need SAP backend, Netweaver Mobile Middleware, SUP and connect NW Mobile and SUP by ESDMA file. This gives you push from backend to device for free on nearly all plattforms out of the box.
So at the end the first question qould be: how much do you want to develop?
Or is it wnough for you to have SUP workflow? Benefit on Workflow: development effort is low, works out of the box, is multi plattform - but like every sunshine there is some shadow - performance of this approach is not really nice. Anyway, it could solve your issue: you receive an eMail in your device, this opens the workflow app and you can accept or decline for example a travel request.
Would that elp?
Regards,
Oliver

Similar Messages

  • My daughter is unable to send text to other iphone users from her iphone!  Other types of phones receive texts.  What is wrong with the setting that is causing this?

    why can my daughter send texts to other brands of phones, but not the iphone,  She can received texts from iphones, but when she tries to send me a text from her iphone (or anyone else with an iphone), the messages don't send!

    Turn iMessage off, then on again.
    Make sure 'Send as SMS' is turned on.

  • Can't send texts, etc. to Android devices

    I can't send or receive texts,pics, etc. to/from Android devices on my new phone. All current updates have been made to operating system.

        Hey there tswaff,
    Let's keep those messages flowing through.  I use text messaging as my main source of communication so I absolutely understand how important it is that we get you back up and running.
    When did this issue start occuring? Is it all Android devices or just some specific contacts? Please go to settings, messaging and make sure send as SMS is turned on.
    Please provide us additional details so I can better assist.
    NicholasB_VZW
    Follow us on Twitter @VZWSupport

  • How can I use the "EvalScript()" function? I am trying to send text to a Director app from the web browser, but the console in the browser just responds "Uncaught ReferenceError: evalScript is not defined ".

    I am trying to control a Shockwave app form another machine, using "Pusher" service (websockets), and everything is ok so far; but when I try to send the shockwave app the text recieved from another machine, using the "EvalScript()" function (as documented), I only get the message "Uncaught ReferenceError: evalScript is not defined" in the browser's console. What am I doing wrong?
    'm using the following script in the webpage where the shockwave object is located:
      <script type="text/javascript">
        // Enable pusher logging - don't include this in production
        Pusher.log = function(message) {
          if (window.console && window.console.log) {
            window.console.log(message);
        var pusher = new Pusher('abc963cf3e6g678879e');
        var channel = pusher.subscribe('Galileo_channel');
        channel.bind('Galileo_event', function(data) {
          evalScript(data.message);
      alert(data.message);
      </script>
    The "alert" is working fine, but I can't get JavaScript to recognize the EvalScript (I even tried calling the shockwave object, ie: "extev01.evalScript()", since the object's ID is extev01, but it doesn't work, either).
    Help!

    Thanks Sean.
    I tried your suggestion first, using getNetText to poll the server and read a text file. The drawbak is that I had to poll the server constantly, since I could not know in advance when the text file was going to change (and it's not practical to stump the server and connection).
    I've kept trying the EvalScript, asigning the Shockwave object to a variable first, then calling the EvalScript() in the object, but it doesn't work, either. I think I will simply use JavaScript and forget about shockwave altogether, since everything is working fine up to that point (although it would be nice to be able to use Lingo for the rest of the app).

  • I recently had to erase my Iphone 5s. Now, when I send texts, it shows them coming from my email address.  I'd rather it just showed them coming from my phone

    I recently had to erase my IPhone 5S, and now it's been restored, and when I text, it shows my messages coming from my email address rather than from my phone # or my name, like they used to be shown.   How can I change this setting back to the way it was?   Thanks

    SpartanDog wrote:
    It doesn't offer me "start new conversations from".
    I presume you can see "You can be reached at ...", so do you only see your email there? (i.e. no phone number?)  If so, then the "send from" may not be an option since your iPhone only has one point of contact, your email.
    If you don't see your phone number under "You can be reached at ..." then sign out of iMessage and FaceTime, then send a text message to any non-iOS friend you know, then sign back into iMessage and FaceTime.  That may re-establish your phone number with Apple's servers.

  • HELP! HOW DO I SEND TEXT MESSAGES TO A CELL FROM MY WEBSITE?

    Hello, I was wondering if anyone knows of a dreamweaver cs3
    plug-in or extension, that will allow my website to send a text
    message containing screensavers or ringtones to a cellphone. Thank
    you for your time Correy G.

    Correy G wrote:
    > Hello, I was wondering if anyone knows of a dreamweaver
    cs3 plug-in or
    > extension, that will allow my website to send a text
    message containing
    > screensavers or ringtones to a cellphone. Thank you for
    your time Correy G.
    Your server needs to have a GSM modem, that is the gateway to
    the mobile
    network. You would normally pay for a service that allows you
    to script
    your page to control the service.
    Dooza
    Posting Guidelines
    http://www.adobe.com/support/forums/guidelines.html
    How To Ask Smart Questions
    http://www.catb.org/esr/faqs/smart-questions.html

  • HT4993 Why can't I send texts to my new Nikia from my old iPhn was was kept active?

    (Nikia = Nokia)

    pop the SIM out of your Nokia and back into your old iPhone - then disable iMessage.
    Put SIM back into Nokia.

  • Why there is no function to send images using Bluetooth ?

    Well I was very much annoyed with my iphone when there were necessities of sending important images using bluetooth and transferring files, Well they should make something similar to it, Is there any solution well i think there might no .....
                                                                                         happy republic day 2015 and happy holi 2015

    Bluetooth and WiFi Settings are moving to a top-level Setting in iOS 6.
    You could just leave Bluetooth turned on. When not in use it has a negligible effect on battery life.

  • Cant send text from my iphone4s to a non iphone

    I cant send texts to a non iphone from my 4s, get message tp  resend using 10 digit number or valid short code. msg 2114 I am using 10 digits and have tried 7 digits

    Contact your carrier. SMS is a carrier function and they would be the one that would be providing the error. Have them make sure your account is provisioned correctly for SMS. You might also want to double-check your settings for Dial Assist.

  • Bluetooth missing from Ctrl + Click menu since SL upgrade

    I used to be able to Ctrl + Click a file and one of the menu options was to send it to a Bluetooth device. Since upgrading to Snow Leopard this option appears to be gone.
    Is there a way to get this back or have Apple removed a great time saving feature?
    (Bluetooth appears OK in Preferences and the toolbar at the top but not this menu)

    Yes same Right Click Bluetooth accessibility is missing ...very annoying like other problems... I'm a former windows user but I have to say windows right click functionality is superior, you can right click anything and then go from there... Apple, Please sometimes I don't want to press short key strokes to get something done I want Short key strokes and Right click Menu options just Like windows... Thank you.

  • Trouble connecting to bluetooth devices.

    I have an iPhone 4 with ios 5.0.1 software and i am having trouble connecting it via bluetooth to a mac so i can send pictures from one to another. I am also unable to connect to any other bluetooth device except for one in the past, a Motorola device that let you listen to music in the car wirelessly with any bluetooth device such as droid, blackberry, or iphone. Please help?

    http://http://worldwide.bose.com/productsupport/en_us/web/troubleshooting_soundl ink_mobile_pairing_connecting/page.html
    On the SoundLink® speaker, press and hold the Bluetooth® button for approximately 10 seconds until you hear a tone. The SoundLink® speaker clears all Bluetooth® devices from its memory and becomes discoverable
    Clear the list on your Bluetooth® device. For more information, please refer to the manufacturer of the device
    Perform a product reset. On the speaker, press and hold Mute for 10 seconds, the LEDs will flash briefly. Press Power to turn the SoundLink® speaker back on
    Try pairing the device again by following these steps:
    With the Bluetooth® device and the SoundLink® speaker both turned on, press and hold the Bluetooth® button on the speaker for three seconds to make the speaker discoverable. The Bluetooth® indicator will slowly fade on and off approximately once every second to show the speaker is in discoverable mode
    Set your Bluetooth® device to "Discoverable." This will allow your device to discover the SoundLink® speaker
    On your device, locate the Bluetooth® device list. Select "Bose SoundLink" from the list
    If your device asks for a passkey, enter digits 0000 and press OK. Some devices also ask you to accept the connection. YourBluetooth® device indicates when pairing is complete. The SoundLink® speaker indicates pairing is complete when the Bluetoothindicator stops fading on and off and remains on

  • HT1937 Bluetooth device is not staying connected to iPhone 4

    I am unable to receive or send mms and my Bluetooth device won't stay connected

    What version of code do you have on the IPHONE?  So, you could be in the middle of downloading email and it will disconnect?  What are you seeing?  The reason I ask is I have had different rev's of Actiontec, different apple devices and never really had a problem outside of some software fixes I've seen.
    Do you live in a house or apartment?  Is there interference from other wireless LAN deviecs?
    Jim

  • Sending an Image file via UART

    Hi All,
    1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
    2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
    Meganadhan
     

    thanks ignacio
    i will try it letter
    but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
     and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
    RANDOM BIT
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC);
    end random;
    architecture Behavioral of random is
    signal counter: integer:=0;
    begin
    process (clk)
    begin
    if rising_edge(clk) then
    counter <= counter+1;
    if counter= (g_CLKS_PER_BIT-1) then
    output <= '1';
    elsif counter=55 then
    output <= '0';
    elsif counter=65 then
    output <= '0';
    elsif counter=75 then
    output <= '0';
    elsif counter=85 then
    output <= '1';
    elsif counter=95 then
    output <= '0';
    elsif counter=105 then
    output <= '0';
    elsif counter=115 then
    output <= '0';
    --elsif counter =1 then
    counter <= 0;
    end if;
    end if;
    end process;
    end Behavioral;
    ----------------------------------------------------------------------UART RX
    -- File Downloaded from http://www.nandland.com
    -- This file contains the UART Receiver. This receiver is able to
    -- receive 8 bits of serial data, one start bit, one stop bit,
    -- and no parity bit. When receive is complete o_rx_dv will be
    -- driven high for one clock cycle.
    -- Set Generic g_CLKS_PER_BIT as follows:
    -- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
    -- Example: 10 MHz Clock, 115200 baud UART
    -- (10000000)/(115200) = 87
    library ieee;
    use ieee.std_logic_1164.ALL;
    use ieee.numeric_std.all;
    entity receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end receive;
    architecture rtl of receive is
    type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
    s_RX_STOP_BIT, s_CLEANUP);
    signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
    signal r_RX_DATA_R : std_logic := '0';
    signal r_RX_DATA &colon; std_logic := '0';
    signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
    signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
    signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
    signal r_RX_DV : std_logic := '0';
    begin
    -- Purpose: Double-register the incoming data.
    -- This allows it to be used in the UART RX Clock Domain.
    -- (It removes problems caused by metastabiliy)
    p_SAMPLE : process (i_clk)
    begin
    if rising_edge(i_clk) then
    r_RX_DATA_R <= i_rx_serial;
    r_RX_DATA <= r_RX_DATA_R;
    end if;
    end process p_SAMPLE;
    -- Purpose: Control RX state machine
    p_UART_RX : process (i_clk)
    begin
    if rising_edge(i_clk) then
    case r_SM_MAIN is
    when s_IDLE =>
    r_RX_DV <= '0';
    r_CLK_COUNT <= 0;
    r_BIT_INDEX <= 0;
    if r_RX_DATA = '0' then -- Start bit detected
    r_SM_MAIN <= s_RX_START_BIT;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    -- Check middle of start bit to make sure it's still low
    when s_RX_START_BIT =>
    if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
    if r_RX_DATA = '0' then
    r_CLK_COUNT <= 0; -- reset counter since we found the middle
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    else
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_START_BIT;
    end if;
    -- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
    when s_RX_DATA_BITS =>
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_CLK_COUNT <= 0;
    r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
    -- Check if we have sent out all bits
    if r_BIT_INDEX < 7 then
    r_BIT_INDEX <= r_BIT_INDEX + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_BIT_INDEX <= 0;
    r_SM_MAIN <= s_RX_STOP_BIT;
    end if;
    end if;
    -- Receive Stop bit. Stop bit = 1
    when s_RX_STOP_BIT =>
    -- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_STOP_BIT;
    else
    r_RX_DV <= '1';
    r_CLK_COUNT <= 0;
    r_SM_MAIN <= s_CLEANUP;
    end if;
    -- Stay here 1 clock
    when s_CLEANUP =>
    r_SM_MAIN <= s_IDLE;
    r_RX_DV <= '0';
    when others =>
    r_SM_MAIN <= s_IDLE;
    end case;
    end if;
    end process p_UART_RX;
    o_rx_dv <= r_RX_DV;
    o_rx_byte <= r_RX_BYTE;
    end rtl;
    TOP
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity top is
    port(cl_k: in std_logic
    end top;
    architecture Behavioral of top is
    --component random
    component random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC
    end component;
    --component uart rx
    component receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end component;
    --signal
    signal mlebu:std_logic;
    signal enabl:std_logic;
    signal metu :std_logic_vector (7 downto 0);
    begin
    a:random port map( output=>mlebu,
    clk=>cl_k
    b:receive port map( i_clk=>cl_k,
    i_rx_serial=>mlebu,
    o_rx_dv=>enabl,
    o_rx_byte=>metu
    end Behavioral;
    regards
    halim

  • MW1 Bluetooth device in nt showing menu options

    I have purchased new MW1 bluetooth device from US(Amazon) and currently using it in India, from first time when i started this device in India after startup only SONY logo is coming and after that device gets hanged at bluetooth icon.
    No button seems to work except for power on/off.
    Please help as warranty is not valid in India and nobody repairs phone accessories.
    Thanks.
    Vinod

    You can try to reset your headset, you find information about how to reset the headset on page 9 in the user guide:
    http://www-support-downloads.sonymobile.com/mw1/userguide_EN_MW1_1250-7843.1.pdf
    If the problem still persists I recommend that you update your headset using the program Update Service:
    http://www.sonymobile.com/global-en/tools/update-service/
    What are your thoughts about this forum? Let us know by doing this short survey.
    What are your thoughts about this forum? Let us know by doing this short survey.

  • When I try to open a document from my file on Mac OS X the following message appears, with the Bluetooth logo: 'SELECT A BLUETOOTH DEVICE ....Select a device to send file ...' I then have to go to the source of the document, e.g. Pages, to open the file.

    When I try to open a document from my file on Mac OS X the following message appears, with the Bluetooth logo: 'SELECT A BLUETOOTH DEVICE ....Select a device to send file ...' I then have to go to the source of the document, (e.g. Pages), to open the file. Please could someone help?

    The Get Info dialog should look something like this:
    The "Change All" button should be at the bottom of the "Open With" section.  This is how it appears in Snow Leopard.  Other OSX versions many be slightly different.
    If something is changing the setting back to something else you may have a deeper problem than I am able to help you with.  What is appearing in the Open with area before you correct it?
    If the problem is still persisting, instead of double clicking on the file to open it, try right or control clicking and then select Open With and choose the proper app from the list.

Maybe you are looking for

  • With my hp office 5010 all in one printer, i'm not able to scan, and this is one of his duties. why

    with my hp office 5010 all in one printer, i'm not able to scan, and this is one of his duties. why isn't it possible?? Bad ssoftware while downloading it from internet because I lost CD rom. No pilot available for scanning with it??? Bad version of

  • Polling with RFC Sender Adapter

    Hi, i am facing a general problem with the rfc sender adapter. I have to design a scenario where periodically pi has to call a rfc fuba/bapi in R3 and insert the response into a database or create a file. I looked for some possibilities to impelement

  • Computer won't recongize ipod

    I have an Ipod touch 5th gen. I've had it for 11 months. Around a month ago I pluged it into my laptop to sync the photos. In the middle of syncing the laptop's battery ran out and ever since then autoplay won't come up. Because of this the ipod is n

  • Save options to PDF

    I am using Acrobat 9 pro and standard on multiple computers running Win 7 32 bit. I can save changes to a PDF file after adding a stamp by choosing file>save or clicking on the save button. When I attempt to close the window I only get the option to

  • WRT54G reboots every 20 minutes

    I recently just switched from astound to comcast and astound worked fine 100% uptime no d/cs or anything...When we switched to comcast the router reboots every 20 minutes. I called comcast and they said everything is fine on their end. I tried power